diff --git a/.nojekyll b/.nojekyll new file mode 100644 index 000000000..e69de29bb diff --git a/Analyze/index.html b/Analyze/index.html new file mode 100644 index 000000000..58627b57c --- /dev/null +++ b/Analyze/index.html @@ -0,0 +1,276 @@ + + + + + + + Analyze — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Analyze

+
    +
  1. Dependency analysis

  2. +
+
+

Dependency Analysis

+
+

1. Create Dependency Graph

+

Create unconnected vertices in the design’s dependency graph for every VHDL library object and every design unit.

+

The vertex’s ID field is set to a unique identifying string.
+The following patterns are used:

+
+
Libraries

The normalized library name: library.

+
+
Contexts

The normalized library and context name: library.context.

+
+
Entities

The normalized library and entity name: library.entity.

+
+
Architectures

The normalized library, entity and architecture name in parenthesis: library.entity(architecture).

+
+
Packages

The normalized library and package name: library.package.

+
+
Package Bodies

The normalized library and package name: library.package(body).

+
+
+

The vertex’s Value field references to the library or design unit object respectively.

+

Each vertex has two attributes:

+
+
"kind"

A kind attribute is set to an enumeration value of DependencyGraphVertexKind representing +vertex kind (type).

+
+
"predefined"

A predefined attribute is set to True, if the library or design unit is a VHDL predefined language entity from +e.g. from std or ieee.

+
+
+

Lastly, every vertex is assigned to a :py:attr:~pyVHDLModel.DesignUnit.DesignUnit._dependencyVertex field. Thus, +there is a double reference from graph’s vertex via Value to the DOM object as well as in reverse via +_dependencyVertex to the representing vertex.

+
predefinedLibraries = ("std", "ieee")
+
+for libraryIdentifier, library in self._libraries.items():
+  dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}", value=library, graph=self._dependencyGraph)
+  dependencyVertex["kind"] = DependencyGraphVertexKind.Library
+  dependencyVertex["predefined"] = libraryIdentifier in predefinedLibraries
+  library._dependencyVertex = dependencyVertex
+
+  for contextIdentifier, context in library._contexts.items():
+    dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{contextIdentifier}", value=context, graph=self._dependencyGraph)
+    dependencyVertex["kind"] = DependencyGraphVertexKind.Context
+    dependencyVertex["predefined"] = context._library._normalizedIdentifier in predefinedLibraries
+    context._dependencyVertex = dependencyVertex
+
+
+
+
+

2. Create Compile Order Graph

+
+
+

3. Index Packages

+
+
+

4. Index Architectures

+
+ + + + + + + + +
+

13. Create Hierarchy Graph

+
+
+

14. Compute Compile Order

+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/ChangeLog/index.html b/ChangeLog/index.html new file mode 100644 index 000000000..a847f9f07 --- /dev/null +++ b/ChangeLog/index.html @@ -0,0 +1,178 @@ + + + + + + + ChangeLog — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

ChangeLog

+
+

Upcoming Release

+
    +
  • tbd

  • +
+
+
+

26.12.2020

+

pyVHDLModel was split from pyVHDLParser (v0.6.0) as an independent Python package.

+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/DataStructure/CompileOrderGraph.html b/DataStructure/CompileOrderGraph.html new file mode 100644 index 000000000..7219dd14b --- /dev/null +++ b/DataStructure/CompileOrderGraph.html @@ -0,0 +1,178 @@ + + + + + + + Compile Order Graph — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Compile Order Graph

+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/DataStructure/DependencyGraph.html b/DataStructure/DependencyGraph.html new file mode 100644 index 000000000..d855ce146 --- /dev/null +++ b/DataStructure/DependencyGraph.html @@ -0,0 +1,178 @@ + + + + + + + Dependency Graph — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Dependency Graph

+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/DataStructure/HierarchyGraph.html b/DataStructure/HierarchyGraph.html new file mode 100644 index 000000000..28ac535c0 --- /dev/null +++ b/DataStructure/HierarchyGraph.html @@ -0,0 +1,178 @@ + + + + + + + Hierarchy Graph — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Hierarchy Graph

+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/DataStructure/index.html b/DataStructure/index.html new file mode 100644 index 000000000..db3d7c1b5 --- /dev/null +++ b/DataStructure/index.html @@ -0,0 +1,232 @@ + + + + + + + Data Structures — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Data Structures

+

Besides the document object model as a tree-like structure, pyVHDLModel has either lists, lookup dictionaries, direct +cross-references or dedicated data structure (tree, graph, …) for connecting multiple objects together.

+
+

Graphs

+

pyVHDLModel uses the graph implementation from :pyTool:mod:`pyTooling.Graph` as it provides an object oriented programming +interface to vertices and edges.

+
+

Dependency Graph

+

The dependency graph describes dependencies between:

+
    +
  • Sourcecode files

  • +
  • VHDL libraries

  • +
  • Contexts

  • +
  • Packages

  • +
  • Entities

  • +
  • Architectures

  • +
  • Packages

  • +
  • Package Bodies

  • +
  • Configurations

  • +
+

The relation can be:

+
    +
  • Defined in source file

  • +
  • references

  • +
  • implements

  • +
  • instantiates

  • +
  • needs to be analyzed before

  • +
+
+
+

Hierarchy Graph

+

The hierarchy graph can be derived from dependency graph by:

+
    +
  1. copying all entity and architecture vertices

  2. +
  3. copying all implements dependency edges

  4. +
  5. copying all instantiates edges in reverse direction

  6. +
+

The graph can then be scanned for a root vertices (no inbound edges). If only a single root vertex exists, this vertex +references the toplevel of the design.

+
+
+

Compile Order Graph

+

The compile order can be derived from dependency graph by:

+
    +
  1. copying all document vertices

  2. +
  3. iterating all edges in the dependency graph. +1. resolve the source and the destination to the referenced design units +2. resolved further to the documents these design units are declared in +3. resolve further which vertices correspond in the compile order graph +4. if edges does not yet exist, add an edge between two documents in the compile order graph

  4. +
+
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/Dependency.html b/Dependency.html new file mode 100644 index 000000000..6ff7a1901 --- /dev/null +++ b/Dependency.html @@ -0,0 +1,380 @@ + + + + + + + Dependency — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Dependency

+ + + + + + + + + + + +

Libraries.io

Requires.io

Libraries.io status for latest release

Service was shutdown

+
+

pyVHDLModel Package

+ + + + + + + + + + + + + + + +

Package

Version

License

Dependencies

pyTooling

≥6.6

Apache License, 2.0

None

+
+
+

Unit Testing / Coverage / Type Checking (Optional)

+

Additional Python packages needed for testing, code coverage collection and static type checking. These packages are +only needed for developers or on a CI server, thus sub-dependencies are not evaluated further.

+

Manually Installing Test Requirements

+

Use the tests/requirements.txt file to install all dependencies via pip3. The file will recursively install +the mandatory dependencies too.

+
pip3 install -U -r tests/requirements.txt
+
+
+

Dependency List

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Package

Version

License

Dependencies

pytest

≥8.3

MIT

Not yet evaluated.

pytest-cov

≥5.0

MIT

Not yet evaluated.

Coverage

≥7.6

Apache License, 2.0

Not yet evaluated.

mypy

≥1.11

MIT

Not yet evaluated.

typing-extensions

≥4.12

PSF-2.0

Not yet evaluated.

lxml

≥5.3

BSD 3-Clause

Not yet evaluated.

+
+
+

Sphinx Documentation (Optional)

+

Additional Python packages needed for documentation generation. These packages are only needed for developers or on a +CI server, thus sub-dependencies are not evaluated further.

+

Manually Installing Documentation Requirements

+

Use the doc/requirements.txt file to install all dependencies via pip3. The file will recursively install +the mandatory dependencies too.

+
pip3 install -U -r doc/requirements.txt
+
+
+

Dependency List

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Package

Version

License

Dependencies

pyTooling

≥6.6

Apache License, 2.0

None

Sphinx

≥7.4

BSD 3-Clause

Not yet evaluated.

sphinxcontrib-mermaid

≥0.9.2

BSD

Not yet evaluated.

autoapi

≥2.0.1

Apache License, 2.0

Not yet evaluated.

sphinx_btd_theme

MIT

Not yet evaluated.

!! sphinx_fontawesome

≥0.0.6

GPL 2.0

Not yet evaluated.

sphinx_autodoc_typehints

≥2.3

MIT

Not yet evaluated.

+
+
+

Packaging (Optional)

+

Additional Python packages needed for installation package generation. These packages are only needed for developers or +on a CI server, thus sub-dependencies are not evaluated further.

+

Manually Installing Packaging Requirements

+

Use the build/requirements.txt file to install all dependencies via pip3. The file will recursively +install the mandatory dependencies too.

+
pip3 install -U -r build/requirements.txt
+
+
+

Dependency List

+ + + + + + + + + + + + + + + + + + + + +

Package

Version

License

Dependencies

pyTooling

≥6.6

Apache License, 2.0

None

wheel

≥0.44

MIT

Not yet evaluated.

+
+
+

Publishing (CI-Server only)

+

Additional Python packages needed for publishing the generated installation package to e.g, PyPI or any equivalent +services. These packages are only needed for maintainers or on a CI server, thus sub-dependencies are not evaluated +further.

+

Manually Installing Publishing Requirements

+

Use the dist/requirements.txt file to install all dependencies via pip3. The file will recursively +install the mandatory dependencies too.

+
pip3 install -U -r dist/requirements.txt
+
+
+

Dependency List

+ + + + + + + + + + + + + + + + + + + + +

Package

Version

License

Dependencies

wheel

≥0.44

MIT

Not yet evaluated.

Twine

≥5.1

Apache License, 2.0

Not yet evaluated.

+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/Doc-License.html b/Doc-License.html new file mode 100644 index 000000000..b4629ff84 --- /dev/null +++ b/Doc-License.html @@ -0,0 +1,508 @@ + + + + + + + Creative Commons Attribution 4.0 International — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ + +
+

Attention

+

This CC BY 4.0 license applies only to the documentation of this project.

+
+
+

Creative Commons Attribution 4.0 International

+

Creative Commons Corporation (“Creative Commons”) is not a law firm and does not +provide legal services or legal advice. Distribution of Creative Commons public +licenses does not create a lawyer-client or other relationship. Creative Commons +makes its licenses and related information available on an “as-is” basis. +Creative Commons gives no warranties regarding its licenses, any material +licensed under their terms and conditions, or any related information. Creative +Commons disclaims all liability for damages resulting from their use to the +fullest extent possible.

+ +

Creative Commons Attribution 4.0 International Public License

+

By exercising the Licensed Rights (defined below), You accept and agree to be +bound by the terms and conditions of this Creative Commons Attribution 4.0 +International Public License (“Public License”). To the extent this Public +License may be interpreted as a contract, You are granted the Licensed Rights +in consideration of Your acceptance of these terms and conditions, and the +Licensor grants You such rights in consideration of benefits the Licensor +receives from making the Licensed Material available under these terms and +conditions.

+
+

Section 1 – Definitions.

+
    +
  1. Adapted Material means material subject to Copyright and Similar +Rights that is derived from or based upon the Licensed Material and in +which the Licensed Material is translated, altered, arranged, transformed, or +otherwise modified in a manner requiring permission under the Copyright and +Similar Rights held by the Licensor. For purposes of this Public License, +where the Licensed Material is a musical work, performance, or sound +recording, Adapted Material is always produced where the Licensed Material +is synched in timed relation with a moving image.

  2. +
  3. Adapter’s License means the license You apply to Your Copyright and +Similar Rights in Your contributions to Adapted Material in accordance with +the terms and conditions of this Public License.

  4. +
  5. Copyright and Similar Rights means copyright and/or similar rights +closely related to copyright including, without limitation, performance, +broadcast, sound recording, and Sui Generis Database Rights, without regard +to how the rights are labeled or categorized. For purposes of this Public +License, the rights specified in Section 2(b)(1)-(2) are not Copyright and +Similar Rights.

  6. +
  7. Effective Technological Measures means those measures that, in the +absence of proper authority, may not be circumvented under laws fulfilling +obligations under Article 11 of the WIPO Copyright Treaty adopted on +December 20, 1996, and/or similar international agreements.

  8. +
  9. Exceptions and Limitations means fair use, fair dealing, and/or any +other exception or limitation to Copyright and Similar Rights that applies to +Your use of the Licensed Material.

  10. +
  11. Licensed Material means the artistic or literary work, database, or +other material to which the Licensor applied this Public License.

  12. +
  13. Licensed Rights means the rights granted to You subject to the terms +and conditions of this Public License, which are limited to all Copyright and +Similar Rights that apply to Your use of the Licensed Material and that the +Licensor has authority to license.

  14. +
  15. Licensor means the individual(s) or entity(ies) granting rights under +this Public License.

  16. +
  17. Share means to provide material to the public by any means or process +that requires permission under the Licensed Rights, such as reproduction, +public display, public performance, distribution, dissemination, +communication, or importation, and to make material available to the public +including in ways that members of the public may access the material from a +place and at a time individually chosen by them.

  18. +
  19. Sui Generis Database Rights means rights other than copyright +resulting from Directive 96/9/EC of the European Parliament and of the +Council of 11 March 1996 on the legal protection of databases, as amended +and/or succeeded, as well as other essentially equivalent rights anywhere +in the world.

  20. +
  21. You means the individual or entity exercising the Licensed Rights +under this Public License. Your has a corresponding meaning.

  22. +
+
+
+

Section 2 – Scope.

+
    +
  1. License grant.

    +
      +
    1. Subject to the terms and conditions of this Public License, the Licensor +hereby grants You a worldwide, royalty-free, non-sublicensable, +non-exclusive, irrevocable license to exercise the Licensed Rights in the +Licensed Material to:

      +
      +
        +
      1. reproduce and Share the Licensed Material, in whole or in part; and

      2. +
      3. produce, reproduce, and Share Adapted Material.

      4. +
      +
      +
    2. +
    3. Exceptions and Limitations. For the avoidance of doubt, where +Exceptions and Limitations apply to Your use, this Public License does not +apply, and You do not need to comply with its terms and conditions.

    4. +
    5. Term. The term of this Public License is specified in Section 6(a).

    6. +
    7. Media and formats; technical modifications allowed. The Licensor +authorizes You to exercise the Licensed Rights in all media and formats +whether now known or hereafter created, and to make technical +modifications necessary to do so. The Licensor waives and/or agrees not to +assert any right or authority to forbid You from making technical +modifications necessary to exercise the Licensed Rights, including +technical modifications necessary to circumvent Effective Technological +Measures. For purposes of this Public License, simply making modifications +authorized by this Section 2(a)(4) never produces Adapted Material.

    8. +
    9. Downstream recipients.

      +
      +
        +
      1. Offer from the Licensor – Licensed Material. Every recipient of +the Licensed Material automatically receives an offer from the +Licensor to exercise the Licensed Rights under the terms and +conditions of this Public License.

      2. +
      3. No downstream restrictions. You may not offer or impose any +additional or different terms or conditions on, or apply any Effective +Technological Measures to, the Licensed Material if doing so restricts +exercise of the Licensed Rights by any recipient of the Licensed +Material.

      4. +
      +
      +
    10. +
    11. No endorsement. Nothing in this Public License constitutes or may +be construed as permission to assert or imply that You are, or that Your +use of the Licensed Material is, connected with, or sponsored, endorsed, +or granted official status by, the Licensor or others designated to +receive attribution as provided in Section 3(a)(1)(A)(i).

    12. +
    +
  2. +
  3. Other rights.

    +
      +
    1. Moral rights, such as the right of integrity, are not licensed under this +Public License, nor are publicity, privacy, and/or other similar +personality rights; however, to the extent possible, the Licensor waives +and/or agrees not to assert any such rights held by the Licensor to the +limited extent necessary to allow You to exercise the Licensed Rights, but +not otherwise.

    2. +
    3. Patent and trademark rights are not licensed under this Public License.

    4. +
    5. To the extent possible, the Licensor waives any right to collect royalties +from You for the exercise of the Licensed Rights, whether directly or +through a collecting society under any voluntary or waivable statutory or +compulsory licensing scheme. In all other cases the Licensor expressly +reserves any right to collect such royalties.

    6. +
    +
  4. +
+
+
+

Section 3 – License Conditions.

+

Your exercise of the Licensed Rights is expressly made subject to the following conditions.

+
    +
  1. Attribution.

    +
      +
    1. If You Share the Licensed Material (including in modified form), You must:

      +
      +
        +
      1. retain the following if it is supplied by the Licensor with the +Licensed Material:

      2. +
      +
      +
        +
      1. identification of the creator(s) of the Licensed Material and any +others designated to receive attribution, in any reasonable manner +requested by the Licensor (including by pseudonym if designated);

      2. +
      3. a copyright notice;

      4. +
      5. a notice that refers to this Public License;

      6. +
      7. a notice that refers to the disclaimer of warranties;

      8. +
      9. a URI or hyperlink to the Licensed Material to the extent reasonably +practicable;

      10. +
      +
      +
        +
      1. indicate if You modified the Licensed Material and retain an +indication of any previous modifications; and

      2. +
      3. indicate the Licensed Material is licensed under this Public License, +and include the text of, or the URI or hyperlink to, this Public +License.

      4. +
      +
      +
    2. +
    3. You may satisfy the conditions in Section 3(a)(1) in any reasonable manner +based on the medium, means, and context in which You Share the Licensed +Material. For example, it may be reasonable to satisfy the conditions by +providing a URI or hyperlink to a resource that includes the required +information.

    4. +
    5. If requested by the Licensor, You must remove any of the information +required by Section 3(a)(1)(A) to the extent reasonably practicable.

    6. +
    7. If You Share Adapted Material You produce, the Adapter’s License You apply +must not prevent recipients of the Adapted Material from complying with +this Public License.

    8. +
    +
  2. +
+
+
+

Section 4 – Sui Generis Database Rights.

+

Where the Licensed Rights include Sui Generis Database Rights that apply to Your +use of the Licensed Material:

+
    +
  1. for the avoidance of doubt, Section 2(a)(1) grants You the right to extract, +reuse, reproduce, and Share all or a substantial portion of the contents of +the database;

  2. +
  3. if You include all or a substantial portion of the database contents in a +database in which You have Sui Generis Database Rights, then the database +in which You have Sui Generis Database Rights (but not its individual +contents) is Adapted Material; and

  4. +
  5. You must comply with the conditions in Section 3(a) if You Share all or a +substantial portion of the contents of the database.

  6. +
+

For the avoidance of doubt, this Section 4 supplements and does not replace +Your obligations under this Public License where the Licensed Rights include +other Copyright and Similar Rights.

+
+
+

Section 5 – Disclaimer of Warranties and Limitation of Liability.

+
    +
  1. Unless otherwise separately undertaken by the Licensor, to the extent +possible, the Licensor offers the Licensed Material as-is and as-available, +and makes no representations or warranties of any kind concerning the +Licensed Material, whether express, implied, statutory, or other. This +includes, without limitation, warranties of title, merchantability, +fitness for a particular purpose, non-infringement, absence of latent or +other defects, accuracy, or the presence or absence of errors, whether or +not known or discoverable. Where disclaimers of warranties are not allowed +in full or in part, this disclaimer may not apply to You.

  2. +
  3. To the extent possible, in no event will the Licensor be liable to You +on any legal theory (including, without limitation, negligence) or +otherwise for any direct, special, indirect, incidental, consequential, +punitive, exemplary, or other losses, costs, expenses, or damages arising +out of this Public License or use of the Licensed Material, even if the +Licensor has been advised of the possibility of such losses, costs, expenses, +or damages. Where a limitation of liability is not allowed in full or in +part, this limitation may not apply to You.

  4. +
  5. The disclaimer of warranties and limitation of liability provided above +shall be interpreted in a manner that, to the extent possible, most +closely approximates an absolute disclaimer and waiver of all liability.

  6. +
+
+
+

Section 6 – Term and Termination.

+
    +
  1. This Public License applies for the term of the Copyright and Similar Rights +licensed here. However, if You fail to comply with this Public License, then +Your rights under this Public License terminate automatically.

  2. +
  3. Where Your right to use the Licensed Material has terminated under +Section 6(a), it reinstates:

    +
      +
    1. automatically as of the date the violation is cured, provided it is cured +within 30 days of Your discovery of the violation; or

    2. +
    3. upon express reinstatement by the Licensor.

    4. +
    +

    For the avoidance of doubt, this Section 6(b) does not affect any right the +Licensor may have to seek remedies for Your violations of this Public License.

    +
  4. +
  5. For the avoidance of doubt, the Licensor may also offer the Licensed Material +under separate terms or conditions or stop distributing the Licensed Material +at any time; however, doing so will not terminate this Public License.

  6. +
  7. Sections 1, 5, 6, 7, and 8 survive termination of this Public License.

  8. +
+
+
+

Section 7 – Other Terms and Conditions.

+
    +
  1. The Licensor shall not be bound by any additional or different terms or +conditions communicated by You unless expressly agreed.

  2. +
  3. Any arrangements, understandings, or agreements regarding the Licensed +Material not stated herein are separate from and independent of the terms +and conditions of this Public License.

  4. +
+
+
+

Section 8 – Interpretation.

+
    +
  1. For the avoidance of doubt, this Public License does not, and shall not be +interpreted to, reduce, limit, restrict, or impose conditions on any use of +the Licensed Material that could lawfully be made without permission under +this Public License.

  2. +
  3. To the extent possible, if any provision of this Public License is deemed +unenforceable, it shall be automatically reformed to the minimum extent +necessary to make it enforceable. If the provision cannot be reformed, it +shall be severed from this Public License without affecting the +enforceability of the remaining terms and conditions.

  4. +
  5. No term or condition of this Public License will be waived and no failure to +comply consented to unless expressly agreed to by the Licensor.

  6. +
  7. Nothing in this Public License constitutes or may be interpreted as a +limitation upon, or waiver of, any privileges and immunities that apply to +the Licensor or You, including from the legal processes of any jurisdiction +or authority.

  8. +
+
+

Creative Commons is not a party to its public licenses. Notwithstanding, +Creative Commons may elect to apply one of its public licenses to material it +publishes and in those instances will be considered the “Licensor.” Except for +the limited purpose of indicating that material is shared under a Creative +Commons public license or as otherwise permitted by the Creative Commons +policies published at creativecommons.org/policies, +Creative Commons does not authorize the use of the trademark “Creative Commons” +or any other trademark or logo of Creative Commons without its prior written +consent including, without limitation, in connection with any unauthorized +modifications to any of its public licenses or any other arrangements, +understandings, or agreements concerning use of licensed material. For the +avoidance of doubt, this paragraph does not form part of the public licenses.

+

Creative Commons may be contacted at creativecommons.org

+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/DocCoverage.html b/DocCoverage.html new file mode 100644 index 000000000..43f3fa716 --- /dev/null +++ b/DocCoverage.html @@ -0,0 +1,348 @@ + + + + + + + Documentation Coverage — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Documentation Coverage

+

Documentation coverage generated by docstr-coverage.

+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Filename

Total

Covered

Missing

Coverage in %

📦pyVHDLModel

108

89

19

82.4%

   Association

9

5

4

55.6%

   Base

54

36

18

66.7%

   Common

14

5

9

35.7%

   Concurrent

91

18

73

19.8%

   Declaration

13

5

8

38.5%

   DesignUnit

68

26

42

38.2%

   Exception

41

23

18

56.1%

   Expression

153

13

140

8.5%

   IEEE

43

26

17

60.5%

   Instantiation

13

1

12

7.7%

   Interface

31

6

25

19.4%

   Name

31

11

20

35.5%

   Namespace

10

1

9

10.0%

   Object

21

11

10

52.4%

   PSLModel

11

1

10

9.1%

   Predefined

11

5

6

45.5%

   Regions

14

2

12

14.3%

   STD

11

8

3

72.7%

   Sequential

79

12

67

15.2%

   Subprogram

20

2

18

10.0%

   Symbol

57

14

43

24.6%

   Type

64

8

56

12.5%

Overall (23 files):

967

328

639

33.9%

+

Legend

+ + + + + + + + + + + + + + + + + + + + + + + +

%

Coverage Level

≤30%

almost undocumented

≤50%

poorly documented

≤80%

roughly documented

≤90%

well documented

≤100%

excellent documented

+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/GettingStarted.html b/GettingStarted.html new file mode 100644 index 000000000..1aa8d9974 --- /dev/null +++ b/GettingStarted.html @@ -0,0 +1,407 @@ + + + + + + + Getting Started — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Getting Started

+

pyVHDLModel is a VHDL language model without any parser. There are currently two parsers available that can serve as a +frontend to pyVHDLModel. These parsers can generate a VHDL language model instance from VHDL source files:

+
    +
  • pyVHDLParser (currently broken)

  • +
  • GHDL

  • +
+
+

pyVHDLParser

+

The pyVHDLParser is a token-stream based parser creating a code document object model (CodeDOM) derived from +pyVHDLModel. Actually, pyVHDlModel was originally part of that parser, until it got refactored into this standalone +package so multiple frontends (parsers) and backends (analysis tools) can use this VHDL language model as a common API.

+
+

Warning

+

Currently, pyVHDLParser is not aligned with latest updates in pyVHDLModel.

+
+
+
+

GHDL as Parser

+

The free and open-source VHDL-2008 simulator GHDL offers a Python binding, so Python code can access libghdl. +This binding layer is exposed in the pyGHDL.libghdl package. In addition, GHDL offers a pyGHDL.dom package +implementing derived classes of pyVHDLModel. Each derived class adds translation methods (.parse(iirNode)) from +GHDL’s internal data structure IIR to the code document object model (CodeDOM) of pyVHDLModel.

+
+

Installation and Setup

+

To use pyVHDLModel a tool offering a parser like GHDL is required. GHDL itself offers multiple options for installation. +In addition it has multiple backends. For the usage with pyVHDLModel, an mcode backend is preferred, as it’s faster +and doesn’t write *.o files to the disk. As most Python installation are nowadays 64-bit, an mcode 64-bit +variant of GHDL would be best.

+
+

On Windows - Native

+

Assuming a 64-bit Windows installation and a 64-bit CPython (python.org) +installation, it’s suggested to install:

+ +

As development of Python packages pyGHDL.dom and pyVHDLModel are under quick development cycles, a GHDL +nightly build is suggested compared to the stable releases (once a year). These nightly builds are provided as ZIP +files on GitHub: https://github.com/ghdl/ghdl/releases/tag/nightly (or use links from above).

+

At next, unpack the ZIP files content to e.g. C:\Tools\GHDL\3.0.0-dev (GHDL installation directory). This ZIP +file brings the GHDL synthesis and simulation tool as well as libghdl-3_0_0_dev.dll needed as a parser frontend.

+
+
+

On Windows - MSYS2

+

Assuming a 64-bit Windows installation and an MSYS2 installation in C:msys64.

+

MSYS2 Prepartions and GHDL/libghdl Installation

+

Start either the MinGW64 or UCRT64 environment and then use pacman to install GHDL. The following steps are +explained for UCRT64, but can be applied to MinGW64 similarly.

+
+

Bash

+
# Update MSYS2 to latest package releases
+pacman -Suyy
+
+# If the core system was updated, a second run might be required.
+pacman -Suyy
+
+# Search for available GHDL packages
+pacman -Ss ghdl
+# mingw32/mingw-w64-i686-ghdl-mcode 2.0.0.r870.g1cc85c578-1 (mingw-w64-i686-eda) [Installiert]
+#     GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (mcode backend) (mingw-w64)
+# mingw64/mingw-w64-x86_64-ghdl-llvm 2.0.0.r870.g1cc85c578-1 (mingw-w64-x86_64-eda) [Installiert]
+#     GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (LLVM backend) (mingw-w64)
+# ucrt64/mingw-w64-ucrt-x86_64-ghdl-llvm 2.0.0.r870.g1cc85c578-1 (mingw-w64-ucrt-x86_64-eda) [Installiert]
+#     GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (LLVM backend) (mingw-w64)
+
+# Note: The GHDL version is 870 commits after 2.0.0 release and has Git hash "1cc85c578" (without prefix 'g')
+
+# Install GHDL for UCRT64
+pacman -S ucrt64/mingw-w64-ucrt-x86_64-ghdl-llvm
+
+
+
+

Installing pyGHDL

+

At next, pyGHDL matching the currently installed GHDL version must be installed. At best, pyGHDL matches the exact Git +hash of GHDL, so there is no discrepancy between the libghdl binary and the DLL binding layer in pyGHDL.libghdl.

+

Assuming Git for Windows is installed and available in PowerShell, the following command will install pyGHDL via PIP:

+
+

PowerShell

+
# Install pyGHDL
+pip install git+https://github.com/ghdl/ghdl.git@$(ghdl version hash).
+
+
+
+
+
+

On Windows from Sources

+

Assuming a 64-bit Windows installation, a 64-bit CPython (python.org) +installation as well as an MSYS2 installation in C:msys64.

+

MSYS2 Prepartions

+

Start either the MinGW64 or UCRT64 environment and then use pacman to install build dependencies. The +following steps are explained for UCRT64, but can be applied to MinGW64 similarly.

+
+

Bash

+
# Update MSYS2 to latest package releases
+pacman -Suyy
+
+# If the core system was updated, a second run might be required.
+pacman -Suyy
+
+# Install system dependencies
+pacman -S git
+pacman -S make
+pacman -S diffutils
+
+# Install GHDL build dependencies (GCC with Ada support)
+pacman -S ucrt64/mingw-w64-ucrt-x86_64-gcc-ada
+
+
+
+

Building GHDL and libghdl

+

The next steps will clone GHDL from GitHub, configure the software, build the binaries, run the testsuite and install +all needed result files into the installation directory.

+
+

Bash

+
# Clone GHDL repository
+mkdir -p /c/Tools/GHDL
+cd /c/Tools/GHDL
+git clone https://github.com/ghdl/ghdl.git sources
+
+# Create build directory and configure GHDL
+mkdir -p sources/build
+cd sources/build
+../configure --prefix=/c/Tools/GHDL/3.0.0-dev
+
+# Build GHDL, run testsuite and install to ``prefix``
+make
+make install
+
+
+
+

The directory structure will look like this:

+
├── Tools
+│   ├── GHDL
+│   │   ├── 3.0.0-dev
+│   │   │   ├── bin
+│   │   │   ├── include
+│   │   │   ├── lib
+│   │   │   │   ├── ghdl
+│   │   ├── sources
+│   │   │   ├── ...
+│   │   │   ├── pyGHDL
+│   │   │   ├── src
+│   │   │   ├── ...
+
+
+

In the next steps, some files from MSYS2/UCRT64 need to be copied into the installation directory, so +libghdl-3_0_0_dev.dll can be used independently from MSYS2 environments.

+

Installing pyGHDL

+

As a final setup step, pyGHDL needs to be installed via PIP by executing some commands in PowerShell. The dependencies +of pyGHDL will take care of installing all necessary requirements like pyVHDLModel.

+
+

PowerShell

+
cd C:\Tools\GHDL\sources
+pip install .
+
+
+
+

Updating GHDL and libghdl

+

If GHDL gets updated through new commits, start the UCRT64 console and execute these instructions to build a latest +libghdl-3_0_0_dev.dll:

+
+

Bash

+
# Update Git reository
+cd /c/Tools/GHDL/sources/build
+git pull
+
+# Recompile GHDL
+make
+
+# Overwrite file in installation directory
+make install
+
+
+
+

Updating pyGHDL

+

TBD

+
+
+

On Linux

+
+

Todo

+

Write how to get started on Linux with libghdl.

+
+
+
+

On Mac

+
+

Todo

+

Write how to get started on Mac with libghdl.

+
+
+
+
+

Using libghdl with Python

+

An environment variable GHDL_PREFIX=C:\Tools\GHDL\3.0.0-dev\lib\ghdl is needed for libghdl. The path is +constructed from installation path plus lib\\ghdl.

+
+

GettingStarted.py

+
from pathlib import Path
+from pyGHDL.dom.NonStandard import Design, Document
+
+fileList = (
+  ("libStopWatch", Path("Counter.vhdl")),  # a list of 2-element tuples; library name and pat to the VHDL file
+  ...                                      # just for this example to simply loop all files
+)
+
+design = Design()
+design.LoadDefaultLibraries()    # loads std.* and ieee.* (dummies for now to calculate dependencies)
+for libName, file in fileList:
+  library = design.GetLibrary(libName)
+  document = Document(file)
+  design.AddDocument(document, library)
+
+# Analyzing dependencies and computing graphs
+design.Analyze()
+
+# Accessing the TopLevel
+design.TopLevel
+
+# Accessing graphs
+design.DependencyGraph
+design.HierarchyGraph
+design.CompileOrderGraph
+
+
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/Glossary.html b/Glossary.html new file mode 100644 index 000000000..668368f6b --- /dev/null +++ b/Glossary.html @@ -0,0 +1,175 @@ + + + + + + + Glossary — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Glossary

+
+
LRM

IEEE Standard for VHDL Language Reference Manual

+ +

See VHDL Standards for further details.

+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/Installation.html b/Installation.html new file mode 100644 index 000000000..619d3a631 --- /dev/null +++ b/Installation.html @@ -0,0 +1,372 @@ + + + + + + + Installation/Updates — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Installation/Updates

+
+

Using PIP to Install from PyPI

+

The following instruction are using PIP (Package Installer for Python) as a package manager and PyPI (Python Package +Index) as a source of Python packages.

+
+

Installing a Wheel Package from PyPI using PIP

+

Users of pyTooling can select if the want to install a basic variant of pyTooling. See Dependencies for more +details.

+
+ +
+
# Basic sphinx-reports package
+pip3 install pyVHDLModel
+
+
+
+ +
+
# Basic sphinx-reports package
+pip install pyVHDLModel
+
+
+
+
+

Developers can install further dependencies for documentation generation (doc) or running unit tests (test) or +just all (all) dependencies.

+
+ +
+
+ +
+
+
# Install with dependencies to generate documentation
+pip3 install pyVHDLModel[doc]
+
+
+
+
+ +
+
+
# Install with dependencies to run unit tests
+pip3 install pyVHDLModel[test]
+
+
+
+
+ +
+
+
# Install with all developer dependencies
+pip install pyVHDLModel[all]
+
+
+
+
+
+
+ +
+
+ +
+
+
# Install with dependencies to generate documentation
+pip install pyVHDLModel[doc]
+
+
+
+
+ +
+
+
# Install with dependencies to run unit tests
+pip install pyVHDLModel[test]
+
+
+
+
+ +
+
+
# Install with all developer dependencies
+pip install pyVHDLModel[all]
+
+
+
+
+
+
+
+
+
+

Updating from PyPI using PIP

+
+ +
+
pip install -U pyVHDLModel
+
+
+
+ +
+
pip3 install -U pyVHDLModel
+
+
+
+
+
+
+

Uninstallation using PIP

+
+ +
+
pip uninstall pyVHDLModel
+
+
+
+ +
+
pip3 uninstall pyVHDLModel
+
+
+
+
+
+
+
+

Using setup.py (legacy)

+

See sections above on how to use PIP.

+
+

Installation using setup.py

+
setup.py install
+
+
+
+
+
+

Local Packaging and Installation via PIP

+

For development and bug fixing it might be handy to create a local wheel package and also install it locally on the +development machine. The following instructions will create a local wheel package (*.whl) and then use PIP to +install it. As a user might have a sphinx-reports installation from PyPI, it’s recommended to uninstall any previous +sphinx-reports packages. (This step is also needed if installing an updated local wheel file with same version number. PIP +will not detect a new version and thus not overwrite/reinstall the updated package contents.)

+

Ensure packaging requirements are installed.

+
+ +
+
cd <sphinx-reports>
+
+# Package the code in a wheel (*.whl)
+python -m build --wheel
+
+# Uninstall the old package
+python -m pip uninstall -y pyVHDLModel
+
+# Install from wheel
+python -m pip install ./dist/pyVHDLModel-0.28.0-py3-none-any.whl
+
+
+
+ +
+
cd <sphinx-reports>
+
+# Package the code in a wheel (*.whl)
+py -m build --wheel
+
+# Uninstall the old package
+py -m pip uninstall -y pyVHDLModel
+
+# Install from wheel
+py -m pip install .\dist\pyVHDLModel-0.28.0-py3-none-any.whl
+
+
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/ConcurrentStatements.html b/LanguageModel/ConcurrentStatements.html new file mode 100644 index 000000000..27ce218a2 --- /dev/null +++ b/LanguageModel/ConcurrentStatements.html @@ -0,0 +1,454 @@ + + + + + + + Concurrent Statements — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Concurrent Statements

+ +

Class Hierarchy

+
+

Assert Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ConcurrentSignalAssignment:

+
@export
+class ConcurrentAssertStatement(ConcurrentStatement, MixinAssertStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from MixinReportStatement
+  @property
+  def Message(self) -> BaseExpression:
+
+  @property
+  def Severity(self) -> BaseExpression:
+
+  # inherited from MixinAssertStatement
+  @property
+  def Condition(self) -> BaseExpression:
+
+
+
+
+

Signal Assignment

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ConcurrentSignalAssignment:

+
@export
+class ConcurrentSignalAssignment(ConcurrentStatement, SignalAssignment):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from Assignment
+  @property
+  def Target(self) -> Object:
+
+  @property
+  def BaseExpression(self) -> BaseExpression:
+
+
+
+
+

Concurrent Block Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ConcurrentBlockStatement:

+
@export
+class ConcurrentBlockStatement(ConcurrentStatement, BlockStatement, ConcurrentDeclarations, ConcurrentStatements):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from ConcurrentDeclarations
+  @property
+  def DeclaredItems(self) -> List:
+
+  # inherited from ConcurrentStatements
+  @property
+  def Statements(self) -> List[ConcurrentStatement]:
+
+  # from ConcurrentBlockStatement
+  @property
+  def PortItems(self) -> List[PortInterfaceItem]:
+
+
+
+
+

Instantiations

+
+

Todo

+

Write documentation.

+
+
+

Entity Instantiation

+
+
+

Component Instantiation

+
+
+

Configuration Instantiation

+
+
+
+

Generate Statements

+
+

If Generate

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class IfGenerateStatement:

+
@export
+class IfGenerateStatement(GenerateStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # from IfGenerateStatement
+  @property
+  def IfBranch(self) -> IfGenerateBranch:
+
+  @property
+  def ElsifBranches(self) -> List[ElsifGenerateBranch]:
+
+  @property
+  def ElseBranch(self) -> ElseGenerateBranch:
+
+
+
+
+

Case Generate

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class CaseGenerateStatement:

+
@export
+class CaseGenerateStatement(GenerateStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # from CaseGenerateStatement
+  @property
+  def SelectExpression(self) -> BaseExpression:
+
+  @property
+  def Cases(self) -> List[GenerateCase]:
+
+
+
+
+

For Generate

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ForGenerateStatement:

+
@export
+class ForGenerateStatement(GenerateStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from ConcurrentDeclarations
+  @property
+  def DeclaredItems(self) -> List:
+
+  # inherited from ConcurrentStatements
+  @property
+  def Statements(self) -> List[ConcurrentStatement]:
+
+  # from ForGenerateStatement
+  @property
+  def LoopIndex(self) -> Constant:
+
+  @property
+  def Range(self) -> Range:
+
+
+
+
+
+

Procedure Call

+
+

Todo

+

Write documentation.

+
+
+
+

Process

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ForGenerateStatement:

+
class ProcessStatement(ConcurrentStatement, SequentialDeclarations, SequentialStatements):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from SequentialDeclarations
+  @property
+  def DeclaredItems(self) -> List:
+
+  # inherited from SequentialStatements
+  @property
+  def Statements(self) -> List[SequentialStatement]:
+
+  # from ProcessStatement
+  @property
+  def SensitivityList(self) -> List[Signal]:
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/DesignUnits.html b/LanguageModel/DesignUnits.html new file mode 100644 index 000000000..4001795fc --- /dev/null +++ b/LanguageModel/DesignUnits.html @@ -0,0 +1,388 @@ + + + + + + + Design Units — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Design Units

+

A VHDL design (see Design) is assembled from design units. VHDL distinguishes +between primary and secondary design units.

+ +

Class Hierarchy

+
+

Primary Units

+
+

Context

+
+

Todo

+

Write documentation.

+
+
+
+

Configuration

+
+

Todo

+

Write documentation.

+
+
+
+

Entity

+

An Entity represents a VHDL entity declaration. Libraries and package +references declared ahead an entity are consumed by that entity and made +available as lists. An entities also provides lists of generic and port items. +The list of declared items (e.g. objects) also contains defined items (e.g. +types). An entity’s list of statements is called body items.

+

Condensed definition of class Entity:

+
@export
+class Entity(PrimaryUnit, MixinDesignUnitWithContext):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from MixinDesignUnitWithContext
+  @property
+  def LibraryReferences(self) -> List[LibraryClause]:
+
+  @property
+  def PackageReferences(self) -> List[UseClause]:
+
+  @property
+  def ContextReferences(self) -> List[Context]:
+
+  # from Entity
+  @property
+  def GenericItems(self) -> List[GenericInterfaceItem]:
+
+  @property
+  def PortItems(self) -> List[PortInterfaceItem]:
+
+  @property
+  def DeclaredItems(self) -> List:
+
+  @property
+  def BodyItems(self) -> List[ConcurrentStatement]:
+
+
+
+
+

Package

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class Package:

+
@export
+class Package(PrimaryUnit, MixinDesignUnitWithContext):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from MixinDesignUnitWithContext
+  @property
+  def LibraryReferences(self) -> List[LibraryClause]:
+
+  @property
+  def PackageReferences(self) -> List[UseClause]:
+
+  @property
+  def ContextReferences(self) -> List[Context]:
+
+  # from Package
+  @property
+  def GenericItems(self) -> List[GenericInterfaceItem]:
+
+  @property
+  def DeclaredItems(self) -> List:
+
+
+
+
+
+

Secondary Units

+
+

Architeture

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class Architecture:

+
@export
+class Architecture(SecondaryUnit, MixinDesignUnitWithContext):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from MixinDesignUnitWithContext
+  @property
+  def LibraryReferences(self) -> List[LibraryClause]:
+
+  @property
+  def PackageReferences(self) -> List[UseClause]:
+
+  @property
+  def ContextReferences(self) -> List[Context]:
+
+  # from Architecture
+  @property
+  def Entity(self) -> Entity:
+
+  @property
+  def DeclaredItems(self) -> List:
+
+  @property
+  def BodyItems(self) -> List[ConcurrentStatement]:
+
+
+
+
+

Package Body

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class PackageBody:

+
@export
+class PackageBody(SecondaryUnit, MixinDesignUnitWithContext):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from MixinDesignUnitWithContext
+  @property
+  def LibraryReferences(self) -> List[LibraryClause]:
+
+  @property
+  def PackageReferences(self) -> List[UseClause]:
+
+  @property
+  def ContextReferences(self) -> List[Context]:
+
+  # from Package Body
+  @property
+  def Package(self) -> Package:
+
+  @property
+  def DeclaredItems(self) -> List:
+
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/Enumerations.html b/LanguageModel/Enumerations.html new file mode 100644 index 000000000..561ac7267 --- /dev/null +++ b/LanguageModel/Enumerations.html @@ -0,0 +1,239 @@ + + + + + + + Enumerations — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Enumerations

+

The language model contains some enumerations to express a kind of a models +entity. These are not enumerated types defined by VHDL itself, like boolean.

+ +
+

Direction

+

Ranges and slices have an ascending (To) or descending (DownTo) direction.

+

Condensed definition of class Direction:

+
@export
+class Direction(Enum):
+  To =      0
+  DownTo =  1
+
+
+
+
+

Mode

+

A mode describes the direction of data exchange e.g. for entity ports or subprogram parameters. +In addition to the modes defined by VHDL (In, Out, InOut, Buffer and Linkage), Default +is a placeholder for omitted modes. The mode is then determined from the context.

+

Condensed definition of class Mode:

+
@export
+class Mode(Enum):
+  Default = 0
+  In =      1
+  Out =     2
+  InOut =   3
+  Buffer =  4
+  Linkage = 5
+
+
+
+
+

Object ObjectClass

+

In addition to the 4 object classes defined by VHDL (Constant, Variable, +Signal and File), Default is used when no object class is defined. In +such a case, the object class is determined from the context.

+

Condensed definition of class ObjectClass:

+
@export
+class ObjectClass(Enum):
+  Default =    0
+  Constant =   1
+  Variable =   2
+  Signal =     3
+  File =       4
+  Type =       5
+  Subprogram = 6
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/Expressions.html b/LanguageModel/Expressions.html new file mode 100644 index 000000000..b6a63fda0 --- /dev/null +++ b/LanguageModel/Expressions.html @@ -0,0 +1,331 @@ + + + + + + + Literals and Expressions — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Literals and Expressions

+ +

Class Hierarchy

+
+

Literals

+
+

Enumeration Literal

+
+

Todo

+

Write documentation.

+
+
+
+

Integer Literal

+
+

Todo

+

Write documentation.

+
+
+
+

Floating Point Literal

+
+

Todo

+

Write documentation.

+
+
+
+

Physical Literal

+
+

Todo

+

Write documentation.

+
+
+
+
+

Expressions

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+

Unary Expressions

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+
+

Binary Expressions

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+

Adding Expressions

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+
+

Multiplying Expressions

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+
+

Logical Expressions

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+
+

Relational Expressions

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+
+

Shifting Expressions

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+
+
+

Ternary Expressions

+
+

Todo

+

Write documentation.

+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/InterfaceItems.html b/LanguageModel/InterfaceItems.html new file mode 100644 index 000000000..447a55e8b --- /dev/null +++ b/LanguageModel/InterfaceItems.html @@ -0,0 +1,452 @@ + + + + + + + Interface Items — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Interface Items

+

Interface items are used in generic, port and parameter declarations.

+ +

Class Hierarchy

+
+

Generic Interface Items

+
+

GenericConstantInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class GenericConstantInterfaceItem:

+
@export
+class GenericConstantInterfaceItem(Constant, GenericInterfaceItem):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  # inherited from WithDefaultExpressionMixin
+  @property
+  def DefaultExpression(self) -> BaseExpression:
+
+  # inherited from InterfaceItem
+  @property
+  def Mode(self) -> Mode:
+
+
+
+
+

GenericTypeInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class GenericTypeInterfaceItem:

+
@Export
+class GenericTypeInterfaceItem(GenericInterfaceItem):
+
+
+
+
+

GenericProcedureInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class GenericProcedureInterfaceItem:

+
@Export
+class GenericProcedureInterfaceItem(GenericSubprogramInterfaceItem):
+
+
+
+
+

GenericFunctionInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class GenericFunctionInterfaceItem:

+
@Export
+class GenericFunctionInterfaceItem(GenericSubprogramInterfaceItem):
+
+
+
+
+

GenericPackageInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class GenericPackageInterfaceItem:

+
@Export
+class GenericPackageInterfaceItem(GenericInterfaceItem):
+
+
+
+
+
+

Port Interface Item

+
+

PortSignalInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class PortSignalInterfaceItem:

+
@export
+class PortSignalInterfaceItem(Signal, PortInterfaceItem):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  # inherited from WithDefaultExpressionMixin
+  @property
+  def DefaultExpression(self) -> BaseExpression:
+
+  # inherited from InterfaceItem
+  @property
+  def Mode(self) -> Mode:
+
+
+
+
+
+

Parameter Interface Item

+
+

ParameterConstantInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ParameterConstantInterfaceItem:

+
@export
+class ParameterConstantInterfaceItem(Constant, ParameterInterfaceItem):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  # inherited from WithDefaultExpressionMixin
+  @property
+  def DefaultExpression(self) -> BaseExpression:
+
+  # inherited from InterfaceItem
+  @property
+  def Mode(self) -> Mode:
+
+
+
+
+

ParameterVariableInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ParameterVariableInterfaceItem:

+
@export
+class ParameterVariableInterfaceItem(Variable, ParameterInterfaceItem):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  # inherited from WithDefaultExpressionMixin
+  @property
+  def DefaultExpression(self) -> BaseExpression:
+
+  # inherited from InterfaceItem
+  @property
+  def Mode(self) -> Mode:
+
+
+
+
+

ParameterSignalInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ParameterSignalInterfaceItem:

+
@export
+class ParameterSignalInterfaceItem(Signal, ParameterInterfaceItem):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  # inherited from WithDefaultExpressionMixin
+  @property
+  def DefaultExpression(self) -> BaseExpression:
+
+  # inherited from InterfaceItem
+  @property
+  def Mode(self) -> Mode:
+
+
+
+
+

ParameterFileInterfaceItem

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ParameterFileInterfaceItem:

+
@Export
+class ParameterFileInterfaceItem(ParameterInterfaceItem):
+
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/Miscellaneous.html b/LanguageModel/Miscellaneous.html new file mode 100644 index 000000000..40433834f --- /dev/null +++ b/LanguageModel/Miscellaneous.html @@ -0,0 +1,290 @@ + + + + + + + Concepts not defined by VHDL — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Concepts not defined by VHDL

+

Some features required for a holistic language model are not defined in the VHDL +LRM (IEEE Std. 1076). Other features are made explicitly implementation +specific to the implementer. This chapter will cover these parts.

+ +
+

Design

+

The root element in the language model is a design made out of multiple +sourcecode files (documents). Sourcecode files are compiled into libraries. Thus +a design has the two child nodes: Libraries +and Documents. Each is a list.

+

Condensed definition of class Design:

+
@export
+class Design(ModelEntity):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # from Design
+  @property
+  def Libraries(self) -> Dict[str, Library]:
+
+  @property
+  def Documents(self) -> List[Document]:
+
+  def GetLibrary(self, libraryName: str) -> Library:
+
+  def AddDocument(self, document: Document, library: Library):
+
+
+
+
+

Library

+

A library contains multiple design units. Each design unit listed in a library +is a primary design unit like: Configuration, +Entity, Package or +Context.

+

Condensed definition of class Library:

+
@export
+class Library(ModelEntity):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # from Library
+  @property
+  def Contexts(self) -> List[Context]:
+
+  @property
+  def Configurations(self) -> List[Configuration]:
+
+  @property
+  def Entities(self) -> List[Entity]:
+
+  @property
+  def Packages(self) -> List[Package]:
+
+
+
+
+

Document

+

A source file (document) contains multiple design units. Each design unit +listed in a sourcecode file is a primary or secondary design unit like: +configuration, entity, architecture, package, package body +or context.

+

Design unit may be preceded by a context made of library, use and +context statements. These statements are not directly visible in the +Document object, because design unit contexts are consumed by the design +units. See the Libraries and Uses fields of each design unit to +investigate the consumed contexts.

+

Condensed definition of class Document:

+
@export
+class Document(ModelEntity):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # from Document
+  @property
+  def Path(self) -> Path:
+
+  @property
+  def Contexts(self) -> List[Context]:
+
+  @property
+  def Configurations(self) -> List[Configuration]:
+
+  @property
+  def Entities(self) -> List[Entity]:
+
+  @property
+  def Architectures(self) -> List[Architecture]:
+
+  @property
+  def Packages(self) -> List[Package]:
+
+  @property
+  def PackageBodies(self) -> List[PackageBody]:
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/ObjectDeclarations.html b/LanguageModel/ObjectDeclarations.html new file mode 100644 index 000000000..e7c6190b7 --- /dev/null +++ b/LanguageModel/ObjectDeclarations.html @@ -0,0 +1,416 @@ + + + + + + + Object Declarations — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Object Declarations

+ +
+

Constants

+

VHDL defines regular constants as an object. In addition, deferred constants are +supported in package declarations. Often generics to e.g. packages or entities +are constants. Also most in parameters to subprograms are constants.

+
+

Constant

+

A constant represents immutable data. This data (value) must be assigned via a +default expression. If a constant’s value is delayed in calculation, it’s called +a deferred constant. See Deferred Constant in next section.

+

Condensed definition of class Constant:

+
@export
+class Constant(BaseConstant):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  @property
+  def DefaultExpression(self) -> BaseExpression:
+
+
+
+
+

Deferred Constant

+

If a constant’s value is delayed in calculation, it’s a deferred constant. Such +a deferred constant has a reference to the regular constant of the same name.

+

Condensed definition of class DeferredConstant:

+
@export
+class DeferredConstant(BaseConstant):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  # inherited from WithDefaultExpressionMixin
+  @property
+  def ConstantReference(self) -> Constant:
+
+
+
+
+

Generic Constant

+

A generic without object class or a generic constant is a regular constant.

+
+

See also

+

See GenericConstantInterfaceItem for details.

+
+
+
+

Constant as Parameter

+

A subprogram parameter without object class of mode in or a parameter constant is a regular constant.

+
+

See also

+

See ParameterConstantInterfaceItem for details.

+
+
+
+
+

Variables

+
+

Variable

+

A variable represents mutable data in sequential regions. Assignments to +variables have no delay. The initial value can be assigned via a default +expression.

+

Condensed definition of class Variable:

+
@export
+class Variable(Object):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  # inherited from WithDefaultExpressionMixin
+  @property
+  def DefaultExpression(self) -> BaseExpression:
+
+
+
+
+

Variable as Parameter

+

A subprogram parameter without object class of mode out or a parameter variable is a regular variable.

+
+

See also

+

See ParameterVariableInterfaceItem for details.

+
+
+
+
+

Shared Variable

+
+

Todo

+

Write documentation.

+
+
+
+

Signals

+
+

Signal

+

A signal represents mutable data in concurrent regions. Assignments to signals +are delayed until next wait statement is executed. The initial value can be +assigned via a default expression.

+

Condensed definition of class Signal:

+
@export
+class Signal(Object):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Object
+  @property
+  def Subtype(self) -> Subtype:
+
+  # inherited from WithDefaultExpressionMixin
+  @property
+  def DefaultExpression(self) -> BaseExpression:
+
+
+
+
+

Signal as Port

+

A port signal is a regular signal.

+
+

See also

+

See PortSignalInterfaceItem for details.

+
+
+
+

Signal as Parameter

+

A parameter signal is a regular signal.

+
+

See also

+

See ParameterSignalInterfaceItem for details.

+
+
+
+
+

Files

+
+

File

+
+

Todo

+

Write documentation.

+
+
+
+

File as Parameter

+

A parameter file is a regular file.

+
+

See also

+

See ParameterFileInterfaceItem for details.

+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/SequentialStatements.html b/LanguageModel/SequentialStatements.html new file mode 100644 index 000000000..650c4d3b4 --- /dev/null +++ b/LanguageModel/SequentialStatements.html @@ -0,0 +1,593 @@ + + + + + + + Sequential Statements — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Sequential Statements

+ +

Class Hierarchy

+
+

Assignments

+
+

Signal Assignment

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class SequentialSignalAssignment:

+
@export
+class SequentialSignalAssignment(SequentialStatement, SignalAssignment):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from Assignment
+  @property
+  def Target(self) -> Object:
+
+  @property
+  def BaseExpression(self) -> BaseExpression:
+
+
+
+
+

Variable Assignment

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class SequentialVariableAssignment:

+
@export
+class SequentialVariableAssignment(SequentialStatement, VariableAssignment):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from Assignment
+  @property
+  def Target(self) -> Object:
+
+  @property
+  def BaseExpression(self) -> BaseExpression:
+
+
+
+
+
+

Branching

+
+

If Statement

+
+

Todo

+

Write documentation.

+
+
+
+

Case Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class CaseStatement:

+
@export
+class CaseStatement(CompoundStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # from CaseGenerateStatement
+  @property
+  def SelectExpression(self) -> BaseExpression:
+
+  @property
+  def Cases(self) -> List[SequentialCase]:
+
+
+
+
+
+

Loops

+
+

Endless Loop

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class EndlessLoopStatement:

+
@export
+class EndlessLoopStatement(LoopStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from SequentialStatements
+  @property
+  def Statements(self) -> List[SequentialStatement]:
+
+
+
+
+

For Loop

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ForLoopStatement:

+
@export
+class ForLoopStatement(LoopStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from SequentialStatements
+  @property
+  def Statements(self) -> List[SequentialStatement]:
+
+  # from ForLoopStatement
+  @property
+  def LoopIndex(self) -> Constant:
+
+  @property
+  def Range(self) -> Range:
+
+
+
+
+

While Loop

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class WhileLoopStatement:

+
@export
+class WhileLoopStatement(LoopStatement, BaseConditional):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from SequentialStatements
+  @property
+  def Statements(self) -> List[SequentialStatement]:
+
+  # inherited from BaseConditional
+  @property
+  def Condition(self) -> BaseExpression:
+
+
+
+
+

Next Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class NextStatement:

+
@export
+class NextStatement(SequentialStatement, BaseConditional):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from BaseCondition
+  @property
+  def Condition(self) -> BaseExpression:
+
+  # inherited from LoopControlStatement
+  @property
+  def LoopReference(self) -> LoopStatement:
+
+
+
+
+

Exit Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ExitStatement:

+
@export
+class ExitStatement(SequentialStatement, BaseConditional):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from BaseCondition
+  @property
+  def Condition(self) -> BaseExpression:
+
+  # inherited from LoopControlStatement
+  @property
+  def LoopReference(self) -> LoopStatement:
+
+
+
+
+
+

Reporting

+
+

Report Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class SequentialReportStatement:

+
@export
+class SequentialReportStatement(SequentialStatement, MixinReportStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from MixinReportStatement
+  @property
+  def Message(self) -> BaseExpression:
+
+  @property
+  def Severity(self) -> BaseExpression:
+
+
+
+
+

Assert Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class SequentialAssertStatement:

+
@export
+class SequentialAssertStatement(SequentialStatement, MixinAssertStatement):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from MixinReportStatement
+  @property
+  def Message(self) -> BaseExpression:
+
+  @property
+  def Severity(self) -> BaseExpression:
+
+  # inherited from MixinAssertStatement
+  @property
+  def Condition(self) -> BaseExpression:
+
+
+
+
+
+

Procedure Call

+
+

Todo

+

Write documentation.

+
+
+
+

Wait Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class WaitStatement:

+
@export
+class WaitStatement(SequentialStatement, BaseConditional):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from BaseCondition
+  @property
+  def Condition(self) -> BaseExpression:
+
+  # from WaitStatement
+  @property
+  def SensitivityList(self) -> List[Signal]:
+
+  @property
+  def Timeout(self) -> BaseExpression:
+
+
+
+
+

Return Statement

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ReturnStatement:

+
@export
+class ReturnStatement(SequentialStatement, BaseConditional):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from LabeledEntity
+  @property
+  def Label(self) -> str:
+
+  # inherited from BaseCondition
+  @property
+  def Condition(self) -> BaseExpression:
+
+  # from ReturnStatement
+  @property
+  def ReturnValue(self) -> BaseExpression:
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/SubprogramDefinitions.html b/LanguageModel/SubprogramDefinitions.html new file mode 100644 index 000000000..973510651 --- /dev/null +++ b/LanguageModel/SubprogramDefinitions.html @@ -0,0 +1,391 @@ + + + + + + + Subprogram Declarations — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Subprogram Declarations

+ +
+

Procedures

+
+

Procedure

+

Condensed definition of class Procedure:

+
@export
+class Procedure(SubProgramm):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Subprogram
+  @property
+  def GenericItems(self) -> List[GenericInterfaceItem]:
+
+  @property
+  def ParameterItems(self) -> List[ParameterInterfaceItem]:
+
+  @property
+  def DeclaredItems(self) -> List:
+
+  @property
+  def BodyItems(self) -> List[SequentialStatement]:
+
+  @property
+  def IsPure(self) -> bool:
+
+
+
+
+

Procedure Instantiation

+
+

Todo

+

Write documentation.

+
+
+
+

Procedure as Method

+

Condensed definition of class ProcedureMethod:

+
@export
+class ProcedureMethod(SubProgramm):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Subprogram
+  @property
+  def GenericItems(self) -> List[GenericInterfaceItem]:
+
+  @property
+  def ParameterItems(self) -> List[ParameterInterfaceItem]:
+
+  @property
+  def DeclaredItems(self) -> List:
+
+  @property
+  def BodyItems(self) -> List[SequentialStatement]:
+
+  @property
+  def IsPure(self) -> bool:
+
+  # inherited from Method
+  @property
+  def ProtectedType(self) -> ProtectedType:
+
+
+
+
+

Generic Procedure

+

A generic procedure is a regular procedure.

+
+

See also

+

See GenericProcedureInterfaceItem for details.

+
+
+
+
+

Functions

+
+

Function

+

Condensed definition of class Function:

+
@export
+class Function(SubProgramm):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Subprogram
+  @property
+  def GenericItems(self) -> List[GenericInterfaceItem]:
+
+  @property
+  def ParameterItems(self) -> List[ParameterInterfaceItem]:
+
+  @property
+  def DeclaredItems(self) -> List:
+
+  @property
+  def BodyItems(self) -> List[SequentialStatement]:
+
+  @property
+  def IsPure(self) -> bool:
+
+  # from Function
+  @property
+  def ReturnType(self) -> Subtype:
+
+
+
+
+

Function Instantiation

+
+

Todo

+

Write documentation.

+
+
+
+

Function as Method

+

Condensed definition of class FunctionMethod:

+
@export
+class Function(SubProgramm):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from Subprogram
+  @property
+  def GenericItems(self) -> List[GenericInterfaceItem]:
+
+  @property
+  def ParameterItems(self) -> List[ParameterInterfaceItem]:
+
+  @property
+  def DeclaredItems(self) -> List:
+
+  @property
+  def BodyItems(self) -> List[SequentialStatement]:
+
+  @property
+  def IsPure(self) -> bool:
+
+  # inherited from Function
+  @property
+  def ReturnType(self) -> Subtype:
+
+  # inherited from Method
+  @property
+  def ProtectedType(self) -> ProtectedType:
+
+
+
+
+

Generic Function

+

A generic function is a regular function.

+
+

See also

+

See GenericFunctionInterfaceItem for details.

+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/SubtypeDefinitions.html b/LanguageModel/SubtypeDefinitions.html new file mode 100644 index 000000000..6ddc5e25d --- /dev/null +++ b/LanguageModel/SubtypeDefinitions.html @@ -0,0 +1,184 @@ + + + + + + + Subtype Declarations — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Subtype Declarations

+

VHDL has subtypes to constrain types.

+

Class Hierarchy

+
+

Todo

+

Write documentation.

+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/TypeDefinitions.html b/LanguageModel/TypeDefinitions.html new file mode 100644 index 000000000..9cdb2a466 --- /dev/null +++ b/LanguageModel/TypeDefinitions.html @@ -0,0 +1,403 @@ + + + + + + + Type Declarations — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Type Declarations

+

VHDL has types (also called a base type) and subtypes. The following shows VHDL’s type hierarchy:

+ +

Class Hierarchy

+
+

Scalar Types

+
+

Enumeration

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class EnumeratedType:

+
@export
+class EnumeratedType(ScalarType, DiscreteType):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # from EnumeratedType
+  @property
+  def Elements(self) -> List[str]:
+
+
+
+
+

Integer

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class IntegerType:

+
@export
+class IntegerType(RangedScalarType, NumericType, DiscreteType):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from RangedScalarType
+  @property
+  def LeftBound(self) -> 'BaseExpression':
+
+  @property
+  def RightBound(self) -> 'BaseExpression':
+
+
+
+
+

Real

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class RealType:

+
@export
+class RealType(RangedScalarType, NumericType):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from RangedScalarType
+  @property
+  def LeftBound(self) -> 'BaseExpression':
+
+  @property
+  def RightBound(self) -> 'BaseExpression':
+
+
+
+
+

Physical

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class PhysicalType:

+
@export
+class PhysicalType(RangedScalarType, NumericType):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # inherited from RangedScalarType
+  @property
+  def LeftBound(self) -> 'BaseExpression':
+
+  @property
+  def RightBound(self) -> 'BaseExpression':
+
+  # from PhysicalType
+  @property
+  def PrimaryUnit(self) -> str:
+
+  @property
+  def SecondaryUnits(self) -> List[Tuple[int, str]]:
+
+
+
+
+
+

Composite Types

+
+

Array

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class ArrayType:

+
@export
+class ArrayType(CompositeType):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # from ArrayType
+  @property
+  def Dimensions(self) -> List[Range]:
+
+  @property
+  def ElementType(self) -> Subtype:
+
+
+
+
+

Record

+
+

Todo

+

Write documentation.

+
+

Condensed definition of class RecordType:

+
@export
+class RecordType(CompositeType):
+  # inherited from ModelEntity
+  @property
+  def Parent(self) -> ModelEntity:
+
+  # inherited from NamedEntity
+  @property
+  def Name(self) -> str:
+
+  # from RecordType
+  @property
+  def Members(self) -> List[RecordTypeElement]:
+
+
+
+
+
+

Protected

+
+

Todo

+

Write documentation.

+
+
+
+

Access

+
+

Todo

+

Write documentation.

+
+
+
+

File

+
+

Todo

+

Write documentation.

+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/LanguageModel/index.html b/LanguageModel/index.html new file mode 100644 index 000000000..df1ff1bfe --- /dev/null +++ b/LanguageModel/index.html @@ -0,0 +1,193 @@ + + + + + + + VHDL Language Model — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

VHDL Language Model

+

Elements of the Language Model

+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/License.html b/License.html new file mode 100644 index 000000000..a593a6c0f --- /dev/null +++ b/License.html @@ -0,0 +1,299 @@ + + + + + + + Apache License 2.0 — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Note

+

This is a local copy of the Apache License Version 2.0.

+
+
+

Attention

+

This Apache License, 2.0 applies to all source and configuration files of project, except documentation.

+
+
+

Apache License 2.0

+

Version 2.0, January 2004

+

TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION

+
+

1. Definitions.

+

“License” shall mean the terms and conditions for use, reproduction, and distribution as defined by Sections 1 through 9 of this document.

+

“Licensor” shall mean the copyright owner or entity authorized by the copyright owner that is granting the License.

+

“Legal Entity” shall mean the union of the acting entity and all other entities that control, are controlled by, or are under common control with that +entity. For the purposes of this definition, “control” means (i) the power, direct or indirect, to cause the direction or management of such entity, whether +by contract or otherwise, or (ii) ownership of fifty percent (50%) or more of the outstanding shares, or (iii) beneficial ownership of such entity.

+

“You” (or “Your”) shall mean an individual or Legal Entity exercising permissions granted by this License.

+

“Source” form shall mean the preferred form for making modifications, including but not limited to software source code, documentation source, and +configuration files.

+

“Object” form shall mean any form resulting from mechanical transformation or translation of a Source form, including but not limited to compiled object +code, generated documentation, and conversions to other media types.

+

“Work” shall mean the work of authorship, whether in Source or Object form, made available under the License, as indicated by a copyright notice that is +included in or attached to the work (an example is provided in the Appendix below).

+

“Derivative Works” shall mean any work, whether in Source or Object form, that is based on (or derived from) the Work and for which the editorial revisions, +annotations, elaborations, or other modifications represent, as a whole, an original work of authorship. For the purposes of this License, Derivative Works +shall not include works that remain separable from, or merely link (or bind by name) to the interfaces of, the Work and Derivative Works thereof.

+

“Contribution” shall mean any work of authorship, including the original version of the Work and any modifications or additions to that Work or Derivative +Works thereof, that is intentionally submitted to Licensor for inclusion in the Work by the copyright owner or by an individual or Legal Entity authorized to +submit on behalf of the copyright owner. For the purposes of this definition, “submitted” means any form of electronic, verbal, or written communication +sent to the Licensor or its representatives, including but not limited to communication on electronic mailing lists, source code control systems, and issue +tracking systems that are managed by, or on behalf of, the Licensor for the purpose of discussing and improving the Work, but excluding communication that is +conspicuously marked or otherwise designated in writing by the copyright owner as “Not a Contribution.”

+

“Contributor” shall mean Licensor and any individual or Legal Entity on behalf of whom a Contribution has been received by Licensor and subsequently +incorporated within the Work.

+
+ +
+

3. Grant of Patent License.

+

Subject to the terms and conditions of this License, each Contributor hereby grants to You a perpetual, worldwide, non-exclusive, no-charge, royalty-free, +irrevocable (except as stated in this section) patent license to make, have made, use, offer to sell, sell, import, and otherwise transfer the Work, where such +license applies only to those patent claims licensable by such Contributor that are necessarily infringed by their Contribution(s) alone or by combination of +their Contribution(s) with the Work to which such Contribution(s) was submitted. If You institute patent litigation against any entity (including a cross-claim +or counterclaim in a lawsuit) alleging that the Work or a Contribution incorporated within the Work constitutes direct or contributory patent infringement, then +any patent licenses granted to You under this License for that Work shall terminate as of the date such litigation is filed.

+
+
+

4. Redistribution.

+

You may reproduce and distribute copies of the Work or Derivative Works thereof in any medium, with or without modifications, and in Source or Object form, +provided that You meet the following conditions:

+
    +
  • You must give any other recipients of the Work or Derivative Works a copy of this License; and

  • +
  • You must cause any modified files to carry prominent notices stating that You changed the files; and

  • +
  • You must retain, in the Source form of any Derivative Works that You distribute, all copyright, patent, trademark, and attribution notices from the Source +form of the Work, excluding those notices that do not pertain to any part of the Derivative Works; and

  • +
  • If the Work includes a “NOTICE” text file as part of its distribution, then any Derivative Works that You distribute must include a readable copy of the +attribution notices contained within such NOTICE file, excluding those notices that do not pertain to any part of the Derivative Works, in at least one of the +following places: within a NOTICE text file distributed as part of the Derivative Works; within the Source form or documentation, if provided along with the +Derivative Works; or, within a display generated by the Derivative Works, if and wherever such third-party notices normally appear. The contents of the NOTICE +file are for informational purposes only and do not modify the License. You may add Your own attribution notices within Derivative Works that You distribute, +alongside or as an addendum to the NOTICE text from the Work, provided that such additional attribution notices cannot be construed as modifying the License.

  • +
+

You may add Your own copyright statement to Your modifications and may provide additional or different license terms and conditions for use, reproduction, or +distribution of Your modifications, or for any such Derivative Works as a whole, provided Your use, reproduction, and distribution of the Work otherwise +complies with the conditions stated in this License.

+
+
+

5. Submission of Contributions.

+

Unless You explicitly state otherwise, any Contribution intentionally submitted for inclusion in the Work by You to the Licensor shall be under the terms and +conditions of this License, without any additional terms or conditions. Notwithstanding the above, nothing herein shall supersede or modify the terms of any +separate license agreement you may have executed with Licensor regarding such Contributions.

+
+
+

6. Trademarks.

+

This License does not grant permission to use the trade names, trademarks, service marks, or product names of the Licensor, except as required for reasonable +and customary use in describing the origin of the Work and reproducing the content of the NOTICE file.

+
+
+

7. Disclaimer of Warranty.

+

Unless required by applicable law or agreed to in writing, Licensor provides the Work (and each Contributor provides its Contributions) on an “AS IS” BASIS, +WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied, including, without limitation, any warranties or conditions of TITLE, NON-INFRINGEMENT, +MERCHANTABILITY, or FITNESS FOR A PARTICULAR PURPOSE. You are solely responsible for determining the appropriateness of using or redistributing the Work and +assume any risks associated with Your exercise of permissions under this License.

+
+
+

8. Limitation of Liability.

+

In no event and under no legal theory, whether in tort (including negligence), contract, or otherwise, unless required by applicable law (such as deliberate +and grossly negligent acts) or agreed to in writing, shall any Contributor be liable to You for damages, including any direct, indirect, special, incidental, or +consequential damages of any character arising as a result of this License or out of the use or inability to use the Work (including but not limited to damages +for loss of goodwill, work stoppage, computer failure or malfunction, or any and all other commercial damages or losses), even if such Contributor has been +advised of the possibility of such damages.

+
+
+

9. Accepting Warranty or Additional Liability.

+

While redistributing the Work or Derivative Works thereof, You may choose to offer, and charge a fee for, acceptance of support, warranty, indemnity, or other +liability obligations and/or rights consistent with this License. However, in accepting such obligations, You may act only on Your own behalf and on Your sole +responsibility, not on behalf of any other Contributor, and only if You agree to indemnify, defend, and hold each Contributor harmless for any liability +incurred by, or claims asserted against, such Contributor by reason of your accepting any such warranty or additional liability.

+
+

Appendix: How to apply the Apache License to your work

+

To apply the Apache License to your work, attach the following boilerplate notice, with the fields enclosed by brackets “[]” replaced with your own identifying +information. (Don’t include the brackets!) The text should be enclosed in the appropriate comment syntax for the file format. We also recommend that a file or +class name and description of purpose be included on the same “printed page” as the copyright notice for easier identification within third-party archives.

+
Copyright [yyyy] [name of copyright owner]
+
+Licensed under the Apache License, Version 2.0 (the "License");
+you may not use this file except in compliance with the License.
+You may obtain a copy of the License at
+
+    http://www.apache.org/licenses/LICENSE-2.0
+
+Unless required by applicable law or agreed to in writing, software
+distributed under the License is distributed on an "AS IS" BASIS,
+WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+See the License for the specific language governing permissions and
+limitations under the License.
+
+
+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/TODO.html b/TODO.html new file mode 100644 index 000000000..94ed88ce1 --- /dev/null +++ b/TODO.html @@ -0,0 +1,525 @@ + + + + + + + TODOs — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

TODOs

+
+

Todo

+

Write how to get started on Linux with libghdl.

+
+

original entry

+
+

Todo

+

Write how to get started on Mac with libghdl.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Write documentation.

+
+

original entry

+
+

Todo

+

Design::CreateHierarchyGraph describe algorithm

+
+

original entry

+
+

Todo

+

Design::CreateHierarchyGraph describe algorithm

+
+

original entry

+
+

Todo

+

missing text

+

pyVHDLModel.Design.ComputeCompileOrder()

+
+

original entry

+
+

Todo

+

concurrent declaration region

+
+

original entry

+
+

Todo

+

Shared variable object not implemented.

+
+

original entry

+
+

Todo

+

File object not implemented.

+
+

original entry

+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_images/inheritance-00fc5ca7c23ab6ce3c644be6978a1557936a6be3.svg b/_images/inheritance-00fc5ca7c23ab6ce3c644be6978a1557936a6be3.svg new file mode 100644 index 000000000..f8cb4b1bf --- /dev/null +++ b/_images/inheritance-00fc5ca7c23ab6ce3c644be6978a1557936a6be3.svg @@ -0,0 +1,74 @@ + + +inheritance97a76ea76b + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +UnequalExpression + + +UnequalExpression + + + + + +RelationalExpression->UnequalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-01cb7efac51ca6d4c734256f0d0e059509be6dad.svg b/_images/inheritance-01cb7efac51ca6d4c734256f0d0e059509be6dad.svg new file mode 100644 index 000000000..e3a126b08 --- /dev/null +++ b/_images/inheritance-01cb7efac51ca6d4c734256f0d0e059509be6dad.svg @@ -0,0 +1,119 @@ + + +inheritance1e38608f2f + + +CompoundStatement + + +CompoundStatement + + + + + +LoopStatement + + +LoopStatement + + + + + +CompoundStatement->LoopStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->CompoundStatement + + + + + +EndlessLoopStatement + + +EndlessLoopStatement + + + + + +LoopStatement->EndlessLoopStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->LoopStatement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-02ecdd9e081258b7814325a65147ec990c904a78.svg b/_images/inheritance-02ecdd9e081258b7814325a65147ec990c904a78.svg new file mode 100644 index 000000000..a55e7b8e0 --- /dev/null +++ b/_images/inheritance-02ecdd9e081258b7814325a65147ec990c904a78.svg @@ -0,0 +1,164 @@ + + +inheritance21ac1cbe2f + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Math_Complex + + +Math_Complex + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Math_Complex + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-030ba4b047910fad5c58890598cbcf6840c0d629.svg b/_images/inheritance-030ba4b047910fad5c58890598cbcf6840c0d629.svg new file mode 100644 index 000000000..a9e027c72 --- /dev/null +++ b/_images/inheritance-030ba4b047910fad5c58890598cbcf6840c0d629.svg @@ -0,0 +1,74 @@ + + +inheritanced3f9e95351 + + +AddingExpression + + +AddingExpression + + + + + +ConcatenationExpression + + +ConcatenationExpression + + + + + +AddingExpression->ConcatenationExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BinaryExpression->AddingExpression + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-03dfc0ce6b6e17154d093d6b5d6e4f9e454da438.svg b/_images/inheritance-03dfc0ce6b6e17154d093d6b5d6e4f9e454da438.svg new file mode 100644 index 000000000..7a9022a32 --- /dev/null +++ b/_images/inheritance-03dfc0ce6b6e17154d093d6b5d6e4f9e454da438.svg @@ -0,0 +1,74 @@ + + +inheritance75ceb8d9b1 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +MultiplyingExpression + + +MultiplyingExpression + + + + + +BinaryExpression->MultiplyingExpression + + + + + +ModuloExpression + + +ModuloExpression + + + + + +MultiplyingExpression->ModuloExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-04dcd2ab83d441551a4738760c8b7aa2091e105d.svg b/_images/inheritance-04dcd2ab83d441551a4738760c8b7aa2091e105d.svg new file mode 100644 index 000000000..7b6402da4 --- /dev/null +++ b/_images/inheritance-04dcd2ab83d441551a4738760c8b7aa2091e105d.svg @@ -0,0 +1,74 @@ + + +inheritancecb0e56e4c5 + + +BaseCase + + +BaseCase + + + + + +SequentialCase + + +SequentialCase + + + + + +BaseCase->SequentialCase + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseCase + + + + + +Case + + +Case + + + + + +SequentialCase->Case + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->SequentialCase + + + + + \ No newline at end of file diff --git a/_images/inheritance-062a295c7f8122175357cf4c846094b0d6375b04.svg b/_images/inheritance-062a295c7f8122175357cf4c846094b0d6375b04.svg new file mode 100644 index 000000000..e5e3ae484 --- /dev/null +++ b/_images/inheritance-062a295c7f8122175357cf4c846094b0d6375b04.svg @@ -0,0 +1,44 @@ + + +inheritancec5a3b8eb0e + + +BaseExpression + + +BaseExpression + + + + + +FunctionCall + + +FunctionCall + + + + + +BaseExpression->FunctionCall + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-077d2fccd44f3793945affb9ec14ca6e6b142830.svg b/_images/inheritance-077d2fccd44f3793945affb9ec14ca6e6b142830.svg new file mode 100644 index 000000000..848aa55b9 --- /dev/null +++ b/_images/inheritance-077d2fccd44f3793945affb9ec14ca6e6b142830.svg @@ -0,0 +1,29 @@ + + +inheritance6fc3f51034 + + +LibraryNotRegisteredError + + +LibraryNotRegisteredError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->LibraryNotRegisteredError + + + + + \ No newline at end of file diff --git a/_images/inheritance-07b312165f08663c6ba82e75a11fe6de3649c657.svg b/_images/inheritance-07b312165f08663c6ba82e75a11fe6de3649c657.svg new file mode 100644 index 000000000..fd23e59d8 --- /dev/null +++ b/_images/inheritance-07b312165f08663c6ba82e75a11fe6de3649c657.svg @@ -0,0 +1,59 @@ + + +inheritance291a10a041 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +TypeConversion + + +TypeConversion + + + + + +UnaryExpression->TypeConversion + + + + + \ No newline at end of file diff --git a/_images/inheritance-07e5dfcbd8d2fef0ff3075cb35e21badd6e03262.svg b/_images/inheritance-07e5dfcbd8d2fef0ff3075cb35e21badd6e03262.svg new file mode 100644 index 000000000..3f499a805 --- /dev/null +++ b/_images/inheritance-07e5dfcbd8d2fef0ff3075cb35e21badd6e03262.svg @@ -0,0 +1,104 @@ + + +inheritance31a0079c97 + + +ConditionalMixin + + +ConditionalMixin + + + + + +LoopControlStatement + + +LoopControlStatement + + + + + +ConditionalMixin->LoopControlStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +NextStatement + + +NextStatement + + + + + +LoopControlStatement->NextStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->LoopControlStatement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-08063d2c9f2dd81cc502e129da03b7a538cd4932.svg b/_images/inheritance-08063d2c9f2dd81cc502e129da03b7a538cd4932.svg new file mode 100644 index 000000000..c3ae621ec --- /dev/null +++ b/_images/inheritance-08063d2c9f2dd81cc502e129da03b7a538cd4932.svg @@ -0,0 +1,74 @@ + + +inheritance35605eee8e + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +MultiplyingExpression + + +MultiplyingExpression + + + + + +BinaryExpression->MultiplyingExpression + + + + + +RemainderExpression + + +RemainderExpression + + + + + +MultiplyingExpression->RemainderExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-0840e80a49404c04863404d33af051610ccc2b5f.svg b/_images/inheritance-0840e80a49404c04863404d33af051610ccc2b5f.svg new file mode 100644 index 000000000..a59bfe1e4 --- /dev/null +++ b/_images/inheritance-0840e80a49404c04863404d33af051610ccc2b5f.svg @@ -0,0 +1,74 @@ + + +inheritancea5c7587250 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +MultiplyingExpression + + +MultiplyingExpression + + + + + +BinaryExpression->MultiplyingExpression + + + + + +DivisionExpression + + +DivisionExpression + + + + + +MultiplyingExpression->DivisionExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-0867625f93f85ad18664e22f566dd4af3fceab11.svg b/_images/inheritance-0867625f93f85ad18664e22f566dd4af3fceab11.svg new file mode 100644 index 000000000..4572415b8 --- /dev/null +++ b/_images/inheritance-0867625f93f85ad18664e22f566dd4af3fceab11.svg @@ -0,0 +1,74 @@ + + +inheritance45d9bdd109 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + +Procedure + + +Procedure + + + + + +Subprogram->Procedure + + + + + \ No newline at end of file diff --git a/_images/inheritance-087a63d2551454cffdd9e15e59f3c2e036fedb97.svg b/_images/inheritance-087a63d2551454cffdd9e15e59f3c2e036fedb97.svg new file mode 100644 index 000000000..37dc45ebf --- /dev/null +++ b/_images/inheritance-087a63d2551454cffdd9e15e59f3c2e036fedb97.svg @@ -0,0 +1,89 @@ + + +inheritanced279002b8c + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +CompositeType + + +CompositeType + + + + + +FullType->CompositeType + + + + + \ No newline at end of file diff --git a/_images/inheritance-0893b00135b154d3f0571c3b21cdddba031a02cc.svg b/_images/inheritance-0893b00135b154d3f0571c3b21cdddba031a02cc.svg new file mode 100644 index 000000000..913682032 --- /dev/null +++ b/_images/inheritance-0893b00135b154d3f0571c3b21cdddba031a02cc.svg @@ -0,0 +1,59 @@ + + +inheritance502f648504 + + +BaseExpression + + +BaseExpression + + + + + +QualifiedExpression + + +QualifiedExpression + + + + + +BaseExpression->QualifiedExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ParenthesisExpression + + +ParenthesisExpression + + + + + +ParenthesisExpression->QualifiedExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-096d308fc56be774a6d69fc83723b12eb7c8ab69.svg b/_images/inheritance-096d308fc56be774a6d69fc83723b12eb7c8ab69.svg new file mode 100644 index 000000000..c663b1855 --- /dev/null +++ b/_images/inheritance-096d308fc56be774a6d69fc83723b12eb7c8ab69.svg @@ -0,0 +1,74 @@ + + +inheritanceec5907b79d + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +NullStatement + + +NullStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->NullStatement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-0999820bdb6f60460ad5c20b24ec755acb744e45.svg b/_images/inheritance-0999820bdb6f60460ad5c20b24ec755acb744e45.svg new file mode 100644 index 000000000..b8efadbef --- /dev/null +++ b/_images/inheritance-0999820bdb6f60460ad5c20b24ec755acb744e45.svg @@ -0,0 +1,29 @@ + + +inheritance6f60f6a5e1 + + +BaseCase + + +BaseCase + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseCase + + + + + \ No newline at end of file diff --git a/_images/inheritance-0a0ff1726dc1620d5ce59e6df51579e66d3a5ea4.svg b/_images/inheritance-0a0ff1726dc1620d5ce59e6df51579e66d3a5ea4.svg new file mode 100644 index 000000000..2bd3e75f5 --- /dev/null +++ b/_images/inheritance-0a0ff1726dc1620d5ce59e6df51579e66d3a5ea4.svg @@ -0,0 +1,59 @@ + + +inheritancefeea002840 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +InverseExpression + + +InverseExpression + + + + + +UnaryExpression->InverseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-0a4324bb301d394eb304a5a29a1ba2ae2046cbc6.svg b/_images/inheritance-0a4324bb301d394eb304a5a29a1ba2ae2046cbc6.svg new file mode 100644 index 000000000..67ca81716 --- /dev/null +++ b/_images/inheritance-0a4324bb301d394eb304a5a29a1ba2ae2046cbc6.svg @@ -0,0 +1,59 @@ + + +inheritance7bee0b37ea + + +Library + + +Library + + + + + +PredefinedLibrary + + +PredefinedLibrary + + + + + +Library->PredefinedLibrary + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Library + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Library + + + + + \ No newline at end of file diff --git a/_images/inheritance-0a75307247c30d88be7386368fea87f09383c49e.svg b/_images/inheritance-0a75307247c30d88be7386368fea87f09383c49e.svg new file mode 100644 index 000000000..a5dd55ac3 --- /dev/null +++ b/_images/inheritance-0a75307247c30d88be7386368fea87f09383c49e.svg @@ -0,0 +1,29 @@ + + +inheritance78c29ac60b + + +ModelEntity + + +ModelEntity + + + + + +Name + + +Name + + + + + +ModelEntity->Name + + + + + \ No newline at end of file diff --git a/_images/inheritance-0b0e4f4d974f7dd43f7e689b18e1b82be82f3997.svg b/_images/inheritance-0b0e4f4d974f7dd43f7e689b18e1b82be82f3997.svg new file mode 100644 index 000000000..903bee935 --- /dev/null +++ b/_images/inheritance-0b0e4f4d974f7dd43f7e689b18e1b82be82f3997.svg @@ -0,0 +1,74 @@ + + +inheritancefc4f5bd18c + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + +SharedVariable + + +SharedVariable + + + + + +Obj->SharedVariable + + + + + \ No newline at end of file diff --git a/_images/inheritance-0c2c6d79181fab15deedb06542f1e109eb3bdcc1.svg b/_images/inheritance-0c2c6d79181fab15deedb06542f1e109eb3bdcc1.svg new file mode 100644 index 000000000..26b93f5a9 --- /dev/null +++ b/_images/inheritance-0c2c6d79181fab15deedb06542f1e109eb3bdcc1.svg @@ -0,0 +1,74 @@ + + +inheritance11ec8a2b36 + + +BaseCase + + +BaseCase + + + + + +SequentialCase + + +SequentialCase + + + + + +BaseCase->SequentialCase + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseCase + + + + + +OthersCase + + +OthersCase + + + + + +SequentialCase->OthersCase + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->SequentialCase + + + + + \ No newline at end of file diff --git a/_images/inheritance-0c8833ead8d4b27533251231dba9022558ea07e9.svg b/_images/inheritance-0c8833ead8d4b27533251231dba9022558ea07e9.svg new file mode 100644 index 000000000..25ac4aeae --- /dev/null +++ b/_images/inheritance-0c8833ead8d4b27533251231dba9022558ea07e9.svg @@ -0,0 +1,44 @@ + + +inheritanced80b3cd419 + + +ModelEntity + + +ModelEntity + + + + + +Name + + +Name + + + + + +ModelEntity->Name + + + + + +OpenName + + +OpenName + + + + + +Name->OpenName + + + + + \ No newline at end of file diff --git a/_images/inheritance-0c9d89c29afeb27c6a075be42635d3f804bc9fd8.svg b/_images/inheritance-0c9d89c29afeb27c6a075be42635d3f804bc9fd8.svg new file mode 100644 index 000000000..1f3ff9625 --- /dev/null +++ b/_images/inheritance-0c9d89c29afeb27c6a075be42635d3f804bc9fd8.svg @@ -0,0 +1,74 @@ + + +inheritance5070b1170e + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +ShiftLogicExpression + + +ShiftLogicExpression + + + + + +ShiftExpression->ShiftLogicExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-0d39846c2b8fe1fe779b3420a677ed382589703b.svg b/_images/inheritance-0d39846c2b8fe1fe779b3420a677ed382589703b.svg new file mode 100644 index 000000000..9ecda2c49 --- /dev/null +++ b/_images/inheritance-0d39846c2b8fe1fe779b3420a677ed382589703b.svg @@ -0,0 +1,59 @@ + + +inheritance876a801865 + + +BranchMixin + + +BranchMixin + + + + + +ConditionalBranchMixin + + +ConditionalBranchMixin + + + + + +BranchMixin->ConditionalBranchMixin + + + + + +ElsifBranchMixin + + +ElsifBranchMixin + + + + + +ConditionalBranchMixin->ElsifBranchMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->ConditionalBranchMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-0d3e476d38ee0b82c2e04bc03d4f54ea251081d8.svg b/_images/inheritance-0d3e476d38ee0b82c2e04bc03d4f54ea251081d8.svg new file mode 100644 index 000000000..ff77032cf --- /dev/null +++ b/_images/inheritance-0d3e476d38ee0b82c2e04bc03d4f54ea251081d8.svg @@ -0,0 +1,44 @@ + + +inheritance1f21125056 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-0d47a136982ce86a14814872cbbf083b82a935c8.svg b/_images/inheritance-0d47a136982ce86a14814872cbbf083b82a935c8.svg new file mode 100644 index 000000000..cc2765801 --- /dev/null +++ b/_images/inheritance-0d47a136982ce86a14814872cbbf083b82a935c8.svg @@ -0,0 +1,164 @@ + + +inheritance89b3d15da2 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Numeric_Std_Unsigned_Body + + +Numeric_Std_Unsigned_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Numeric_Std_Unsigned_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-1010aa19c3495a18a2a99b4898ca9c594b1d75cf.svg b/_images/inheritance-1010aa19c3495a18a2a99b4898ca9c594b1d75cf.svg new file mode 100644 index 000000000..349dde3ae --- /dev/null +++ b/_images/inheritance-1010aa19c3495a18a2a99b4898ca9c594b1d75cf.svg @@ -0,0 +1,104 @@ + + +inheritance3649c7a88a + + +ConditionalMixin + + +ConditionalMixin + + + + + +LoopControlStatement + + +LoopControlStatement + + + + + +ConditionalMixin->LoopControlStatement + + + + + +ExitStatement + + +ExitStatement + + + + + +LoopControlStatement->ExitStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->LoopControlStatement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-113b49db802df87a047e2a20160cbbf8ba8967b4.svg b/_images/inheritance-113b49db802df87a047e2a20160cbbf8ba8967b4.svg new file mode 100644 index 000000000..b459fd660 --- /dev/null +++ b/_images/inheritance-113b49db802df87a047e2a20160cbbf8ba8967b4.svg @@ -0,0 +1,104 @@ + + +inheritanceb6d84a57c3 + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +PSLPrimaryUnit + + +PSLPrimaryUnit + + + + + +VerificationUnit + + +VerificationUnit + + + + + +PSLPrimaryUnit->VerificationUnit + + + + + +PrimaryUnit->PSLPrimaryUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-11a9f06d57f611e0f42f54eb0fc7c1f2fd8db042.svg b/_images/inheritance-11a9f06d57f611e0f42f54eb0fc7c1f2fd8db042.svg new file mode 100644 index 000000000..4fe058c2d --- /dev/null +++ b/_images/inheritance-11a9f06d57f611e0f42f54eb0fc7c1f2fd8db042.svg @@ -0,0 +1,104 @@ + + +inheritance96607a2eab + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +MethodMixin + + +MethodMixin + + + + + +ProcedureMethod + + +ProcedureMethod + + + + + +MethodMixin->ProcedureMethod + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + +Procedure + + +Procedure + + + + + +Procedure->ProcedureMethod + + + + + +Subprogram->Procedure + + + + + \ No newline at end of file diff --git a/_images/inheritance-1228712660ac2583accc7c64453dae1953cdda7d.svg b/_images/inheritance-1228712660ac2583accc7c64453dae1953cdda7d.svg new file mode 100644 index 000000000..4355f3a3d --- /dev/null +++ b/_images/inheritance-1228712660ac2583accc7c64453dae1953cdda7d.svg @@ -0,0 +1,59 @@ + + +inheritancea4789ed5b9 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +UnaryAndExpression + + +UnaryAndExpression + + + + + +UnaryExpression->UnaryAndExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-12d63b4c170d35457cd5752c3c39dad28db2b6ca.svg b/_images/inheritance-12d63b4c170d35457cd5752c3c39dad28db2b6ca.svg new file mode 100644 index 000000000..e672fb16e --- /dev/null +++ b/_images/inheritance-12d63b4c170d35457cd5752c3c39dad28db2b6ca.svg @@ -0,0 +1,44 @@ + + +inheritance5e1b84ab8b + + +AssertStatementMixin + + +AssertStatementMixin + + + + + +ReportStatementMixin + + +ReportStatementMixin + + + + + +ReportStatementMixin->AssertStatementMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->AssertStatementMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-12e25d62f0e0a14aef5f0e5fb5751c44baee6269.svg b/_images/inheritance-12e25d62f0e0a14aef5f0e5fb5751c44baee6269.svg new file mode 100644 index 000000000..d609e6d4e --- /dev/null +++ b/_images/inheritance-12e25d62f0e0a14aef5f0e5fb5751c44baee6269.svg @@ -0,0 +1,74 @@ + + +inheritance155a8126cf + + +Ieee + + +Ieee + + + + + +PredefinedLibrary + + +PredefinedLibrary + + + + + +PredefinedLibrary->Ieee + + + + + +Library + + +Library + + + + + +Library->PredefinedLibrary + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Library + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Library + + + + + \ No newline at end of file diff --git a/_images/inheritance-132069306b633cdd31e87004ec028b708b8852ca.svg b/_images/inheritance-132069306b633cdd31e87004ec028b708b8852ca.svg new file mode 100644 index 000000000..ada04347b --- /dev/null +++ b/_images/inheritance-132069306b633cdd31e87004ec028b708b8852ca.svg @@ -0,0 +1,29 @@ + + +inheritance00bac13ca2 + + +AggregateElement + + +AggregateElement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AggregateElement + + + + + \ No newline at end of file diff --git a/_images/inheritance-1342d46b23ba8757165a0d5162d68e8908de91ce.svg b/_images/inheritance-1342d46b23ba8757165a0d5162d68e8908de91ce.svg new file mode 100644 index 000000000..18c3150dc --- /dev/null +++ b/_images/inheritance-1342d46b23ba8757165a0d5162d68e8908de91ce.svg @@ -0,0 +1,44 @@ + + +inheritancec3d93ba094 + + +LibraryClause + + +LibraryClause + + + + + +Reference + + +Reference + + + + + +Reference->LibraryClause + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Reference + + + + + \ No newline at end of file diff --git a/_images/inheritance-1389cebb5395fde485483b3f308ec58038cd3b3a.svg b/_images/inheritance-1389cebb5395fde485483b3f308ec58038cd3b3a.svg new file mode 100644 index 000000000..9b19d48de --- /dev/null +++ b/_images/inheritance-1389cebb5395fde485483b3f308ec58038cd3b3a.svg @@ -0,0 +1,74 @@ + + +inheritance5e131b75c5 + + +CompoundStatement + + +CompoundStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->CompoundStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-13aff1d5aa1d4ea4511724fffaafd205e7f66248.svg b/_images/inheritance-13aff1d5aa1d4ea4511724fffaafd205e7f66248.svg new file mode 100644 index 000000000..057632352 --- /dev/null +++ b/_images/inheritance-13aff1d5aa1d4ea4511724fffaafd205e7f66248.svg @@ -0,0 +1,44 @@ + + +inheritance3188172b24 + + +Library + + +Library + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Library + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Library + + + + + \ No newline at end of file diff --git a/_images/inheritance-151f6109542617a8b8b0682111524fe84d70396f.svg b/_images/inheritance-151f6109542617a8b8b0682111524fe84d70396f.svg new file mode 100644 index 000000000..2cc2358f0 --- /dev/null +++ b/_images/inheritance-151f6109542617a8b8b0682111524fe84d70396f.svg @@ -0,0 +1,89 @@ + + +inheritance6db9cdd8fd + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +MatchingLessThanExpression + + +MatchingLessThanExpression + + + + + +MatchingRelationalExpression + + +MatchingRelationalExpression + + + + + +MatchingRelationalExpression->MatchingLessThanExpression + + + + + +RelationalExpression->MatchingRelationalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-15b58ac795168ee90e342f0770fd1cf435b72cd1.svg b/_images/inheritance-15b58ac795168ee90e342f0770fd1cf435b72cd1.svg new file mode 100644 index 000000000..2a15191d1 --- /dev/null +++ b/_images/inheritance-15b58ac795168ee90e342f0770fd1cf435b72cd1.svg @@ -0,0 +1,14 @@ + + +inheritance18f3c57d85 + + +NumericTypeMixin + + +NumericTypeMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-15c47244a7507d4b462b429f5ef6030aa334bc88.svg b/_images/inheritance-15c47244a7507d4b462b429f5ef6030aa334bc88.svg new file mode 100644 index 000000000..0c3499980 --- /dev/null +++ b/_images/inheritance-15c47244a7507d4b462b429f5ef6030aa334bc88.svg @@ -0,0 +1,164 @@ + + +inheritance1245085526 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +Std_logic_misc_Body + + +Std_logic_misc_Body + + + + + +PredefinedPackageBody->Std_logic_misc_Body + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-15d0de86326c14e4b069b73da92f612fbb7b4454.svg b/_images/inheritance-15d0de86326c14e4b069b73da92f612fbb7b4454.svg new file mode 100644 index 000000000..8eef9d4dc --- /dev/null +++ b/_images/inheritance-15d0de86326c14e4b069b73da92f612fbb7b4454.svg @@ -0,0 +1,74 @@ + + +inheritance3cebad2fac + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +NumericLiteral + + +NumericLiteral + + + + + +Literal->NumericLiteral + + + + + +PhysicalLiteral + + +PhysicalLiteral + + + + + +NumericLiteral->PhysicalLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-15f933be1058bb9eeaade21c81f2d4980d35aeba.svg b/_images/inheritance-15f933be1058bb9eeaade21c81f2d4980d35aeba.svg new file mode 100644 index 000000000..4db4a45f8 --- /dev/null +++ b/_images/inheritance-15f933be1058bb9eeaade21c81f2d4980d35aeba.svg @@ -0,0 +1,14 @@ + + +inheritance37f67d789c + + +InterfaceItemWithModeMixin + + +InterfaceItemWithModeMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-175a775e48905bcda4e0bcb570a17a39198dd84e.svg b/_images/inheritance-175a775e48905bcda4e0bcb570a17a39198dd84e.svg new file mode 100644 index 000000000..0405b1ed3 --- /dev/null +++ b/_images/inheritance-175a775e48905bcda4e0bcb570a17a39198dd84e.svg @@ -0,0 +1,44 @@ + + +inheritancea3bc8179f1 + + +AttributeName + + +AttributeName + + + + + +Name + + +Name + + + + + +Name->AttributeName + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Name + + + + + \ No newline at end of file diff --git a/_images/inheritance-178c2a75f22f52bbd2678fd9f0f2bbd0259c3cc0.svg b/_images/inheritance-178c2a75f22f52bbd2678fd9f0f2bbd0259c3cc0.svg new file mode 100644 index 000000000..1e5d4fb73 --- /dev/null +++ b/_images/inheritance-178c2a75f22f52bbd2678fd9f0f2bbd0259c3cc0.svg @@ -0,0 +1,74 @@ + + +inheritance63237a36c1 + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +Instantiation + + +Instantiation + + + + + +ConcurrentStatement->Instantiation + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-17d4ce3121167d0b6bd001c3a82d37c6d924a365.svg b/_images/inheritance-17d4ce3121167d0b6bd001c3a82d37c6d924a365.svg new file mode 100644 index 000000000..a1624a6c3 --- /dev/null +++ b/_images/inheritance-17d4ce3121167d0b6bd001c3a82d37c6d924a365.svg @@ -0,0 +1,29 @@ + + +inheritance1de42c24c8 + + +AllPackageMembersReferenceSymbol + + +AllPackageMembersReferenceSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->AllPackageMembersReferenceSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-180bb7e0443f2da93f5560edc649db9ba772a7f6.svg b/_images/inheritance-180bb7e0443f2da93f5560edc649db9ba772a7f6.svg new file mode 100644 index 000000000..a03a68f3d --- /dev/null +++ b/_images/inheritance-180bb7e0443f2da93f5560edc649db9ba772a7f6.svg @@ -0,0 +1,104 @@ + + +inheritanced5e4cb1a53 + + +AssignmentMixin + + +AssignmentMixin + + + + + +VariableAssignmentMixin + + +VariableAssignmentMixin + + + + + +AssignmentMixin->VariableAssignmentMixin + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialVariableAssignment + + +SequentialVariableAssignment + + + + + +SequentialStatement->SequentialVariableAssignment + + + + + +Statement->SequentialStatement + + + + + +VariableAssignmentMixin->SequentialVariableAssignment + + + + + \ No newline at end of file diff --git a/_images/inheritance-182a7afe0115a1eca5e7f1fdea1235c2aa23c131.svg b/_images/inheritance-182a7afe0115a1eca5e7f1fdea1235c2aa23c131.svg new file mode 100644 index 000000000..a18df7190 --- /dev/null +++ b/_images/inheritance-182a7afe0115a1eca5e7f1fdea1235c2aa23c131.svg @@ -0,0 +1,59 @@ + + +inheritancec36240a18f + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +NegationExpression + + +NegationExpression + + + + + +UnaryExpression->NegationExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-1864f8e3455cfc10ab24f66e6b81ae018ae14d99.svg b/_images/inheritance-1864f8e3455cfc10ab24f66e6b81ae018ae14d99.svg new file mode 100644 index 000000000..e92912a77 --- /dev/null +++ b/_images/inheritance-1864f8e3455cfc10ab24f66e6b81ae018ae14d99.svg @@ -0,0 +1,59 @@ + + +inheritance34ff4199ac + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +StringLiteral + + +StringLiteral + + + + + +Literal->StringLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-187f2fdc5c5b221c13ff12011313de8ffccfeb13.svg b/_images/inheritance-187f2fdc5c5b221c13ff12011313de8ffccfeb13.svg new file mode 100644 index 000000000..2b0977f9d --- /dev/null +++ b/_images/inheritance-187f2fdc5c5b221c13ff12011313de8ffccfeb13.svg @@ -0,0 +1,119 @@ + + +inheritance9583803eb5 + + +AssignmentMixin + + +AssignmentMixin + + + + + +SignalAssignmentMixin + + +SignalAssignmentMixin + + + + + +AssignmentMixin->SignalAssignmentMixin + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +SequentialSignalAssignment + + +SequentialSignalAssignment + + + + + +SequentialSimpleSignalAssignment + + +SequentialSimpleSignalAssignment + + + + + +SequentialSignalAssignment->SequentialSimpleSignalAssignment + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->SequentialSignalAssignment + + + + + +SignalAssignmentMixin->SequentialSignalAssignment + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-18a98c66c431455442d47341e81b36da692143a2.svg b/_images/inheritance-18a98c66c431455442d47341e81b36da692143a2.svg new file mode 100644 index 000000000..11fb674d4 --- /dev/null +++ b/_images/inheritance-18a98c66c431455442d47341e81b36da692143a2.svg @@ -0,0 +1,74 @@ + + +inheritanceb7c00ef165 + + +AddingExpression + + +AddingExpression + + + + + +AdditionExpression + + +AdditionExpression + + + + + +AddingExpression->AdditionExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BinaryExpression->AddingExpression + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-18b23f2490c2c047b6ff7ac98fd49b56adce6dcd.svg b/_images/inheritance-18b23f2490c2c047b6ff7ac98fd49b56adce6dcd.svg new file mode 100644 index 000000000..74c5ee333 --- /dev/null +++ b/_images/inheritance-18b23f2490c2c047b6ff7ac98fd49b56adce6dcd.svg @@ -0,0 +1,44 @@ + + +inheritance8926ecf18e + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +GenericInterfaceItemMixin + + +GenericInterfaceItemMixin + + + + + +InterfaceItemMixin->GenericInterfaceItemMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-18cef8b3c5866c45906165d4495ee94e2f5708a3.svg b/_images/inheritance-18cef8b3c5866c45906165d4495ee94e2f5708a3.svg new file mode 100644 index 000000000..694f120a2 --- /dev/null +++ b/_images/inheritance-18cef8b3c5866c45906165d4495ee94e2f5708a3.svg @@ -0,0 +1,59 @@ + + +inheritance39547e52b0 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RangeExpression + + +RangeExpression + + + + + +BinaryExpression->RangeExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-1c4b4d91aa054c48abed5aa6735c28581318b628.svg b/_images/inheritance-1c4b4d91aa054c48abed5aa6735c28581318b628.svg new file mode 100644 index 000000000..56e08cb24 --- /dev/null +++ b/_images/inheritance-1c4b4d91aa054c48abed5aa6735c28581318b628.svg @@ -0,0 +1,74 @@ + + +inheritance050071eba9 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +File + + +File + + + + + +Obj->File + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + \ No newline at end of file diff --git a/_images/inheritance-1c570ed062fd4bc5d70781e96884f7d553046939.svg b/_images/inheritance-1c570ed062fd4bc5d70781e96884f7d553046939.svg new file mode 100644 index 000000000..2e0a99fb0 --- /dev/null +++ b/_images/inheritance-1c570ed062fd4bc5d70781e96884f7d553046939.svg @@ -0,0 +1,29 @@ + + +inheritancef7b44a1117 + + +PackageMemberReferenceSymbol + + +PackageMemberReferenceSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->PackageMemberReferenceSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-1c7f0dd9728e6b4c822f1d6a78aa9a07842d076c.svg b/_images/inheritance-1c7f0dd9728e6b4c822f1d6a78aa9a07842d076c.svg new file mode 100644 index 000000000..a76c07885 --- /dev/null +++ b/_images/inheritance-1c7f0dd9728e6b4c822f1d6a78aa9a07842d076c.svg @@ -0,0 +1,14 @@ + + +inheritance612701535b + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-1ce6cc746313908b89c2b9622d7583a6aeefa0e0.svg b/_images/inheritance-1ce6cc746313908b89c2b9622d7583a6aeefa0e0.svg new file mode 100644 index 000000000..277007142 --- /dev/null +++ b/_images/inheritance-1ce6cc746313908b89c2b9622d7583a6aeefa0e0.svg @@ -0,0 +1,14 @@ + + +inheritancec3afef9e32 + + +MethodMixin + + +MethodMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-1cf081509fe3654e790dc4ad9da6ba976146f83d.svg b/_images/inheritance-1cf081509fe3654e790dc4ad9da6ba976146f83d.svg new file mode 100644 index 000000000..1240393a6 --- /dev/null +++ b/_images/inheritance-1cf081509fe3654e790dc4ad9da6ba976146f83d.svg @@ -0,0 +1,164 @@ + + +inheritancea56eb4de4f + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Math_Complex_Body + + +Math_Complex_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Math_Complex_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-1e80772bb7e0cc278be34bfc8347683f334a7f33.svg b/_images/inheritance-1e80772bb7e0cc278be34bfc8347683f334a7f33.svg new file mode 100644 index 000000000..f672bd217 --- /dev/null +++ b/_images/inheritance-1e80772bb7e0cc278be34bfc8347683f334a7f33.svg @@ -0,0 +1,44 @@ + + +inheritanced3a92d1c32 + + +DependencyGraphVertexKind + + +DependencyGraphVertexKind + + + + + +Flag + + +Flag + + + + + +Flag->DependencyGraphVertexKind + + + + + +Enum + + +Enum + + + + + +Enum->Flag + + + + + \ No newline at end of file diff --git a/_images/inheritance-1fb290bfc9aeca1bfd1a443fc9079c7e7e95c929.svg b/_images/inheritance-1fb290bfc9aeca1bfd1a443fc9079c7e7e95c929.svg new file mode 100644 index 000000000..a95fd37d3 --- /dev/null +++ b/_images/inheritance-1fb290bfc9aeca1bfd1a443fc9079c7e7e95c929.svg @@ -0,0 +1,14 @@ + + +inheritancef12c847272 + + +ConditionalMixin + + +ConditionalMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-202e92f5acb84bdb811abf8976588af7cc1e52c8.svg b/_images/inheritance-202e92f5acb84bdb811abf8976588af7cc1e52c8.svg new file mode 100644 index 000000000..95ef40189 --- /dev/null +++ b/_images/inheritance-202e92f5acb84bdb811abf8976588af7cc1e52c8.svg @@ -0,0 +1,164 @@ + + +inheritance8e987e798f + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Numeric_Bit + + +Numeric_Bit + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Numeric_Bit + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-21f781b72f160c379da6dfa912ff9c567c3d3378.svg b/_images/inheritance-21f781b72f160c379da6dfa912ff9c567c3d3378.svg new file mode 100644 index 000000000..163a48f90 --- /dev/null +++ b/_images/inheritance-21f781b72f160c379da6dfa912ff9c567c3d3378.svg @@ -0,0 +1,59 @@ + + +inheritance134110668c + + +ConstrainedArraySubtypeSymbol + + +ConstrainedArraySubtypeSymbol + + + + + +ConstrainedCompositeSubtypeSymbol + + +ConstrainedCompositeSubtypeSymbol + + + + + +ConstrainedCompositeSubtypeSymbol->ConstrainedArraySubtypeSymbol + + + + + +SubtypeSymbol + + +SubtypeSymbol + + + + + +SubtypeSymbol->ConstrainedCompositeSubtypeSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->SubtypeSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-253c9bff5358fc8edf26a5b3c30b985fb606c92a.svg b/_images/inheritance-253c9bff5358fc8edf26a5b3c30b985fb606c92a.svg new file mode 100644 index 000000000..4854c89d2 --- /dev/null +++ b/_images/inheritance-253c9bff5358fc8edf26a5b3c30b985fb606c92a.svg @@ -0,0 +1,119 @@ + + +inheritancea148513133 + + +AssertStatementMixin + + +AssertStatementMixin + + + + + +ConcurrentAssertStatement + + +ConcurrentAssertStatement + + + + + +AssertStatementMixin->ConcurrentAssertStatement + + + + + +ReportStatementMixin + + +ReportStatementMixin + + + + + +ReportStatementMixin->AssertStatementMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->AssertStatementMixin + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->ConcurrentAssertStatement + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-263888a426e81f8c0f457568f767c191f532b002.svg b/_images/inheritance-263888a426e81f8c0f457568f767c191f532b002.svg new file mode 100644 index 000000000..6816436ec --- /dev/null +++ b/_images/inheritance-263888a426e81f8c0f457568f767c191f532b002.svg @@ -0,0 +1,29 @@ + + +inheritance912e238f28 + + +BaseChoice + + +BaseChoice + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseChoice + + + + + \ No newline at end of file diff --git a/_images/inheritance-2662969a7a47741c8643e01f04eeff524a99ec57.svg b/_images/inheritance-2662969a7a47741c8643e01f04eeff524a99ec57.svg new file mode 100644 index 000000000..c89ff57a7 --- /dev/null +++ b/_images/inheritance-2662969a7a47741c8643e01f04eeff524a99ec57.svg @@ -0,0 +1,29 @@ + + +inheritance013f8574a8 + + +ReferencedLibraryNotExistingError + + +ReferencedLibraryNotExistingError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->ReferencedLibraryNotExistingError + + + + + \ No newline at end of file diff --git a/_images/inheritance-271a09a9f6796315acb432a4260c8199a18da5ce.svg b/_images/inheritance-271a09a9f6796315acb432a4260c8199a18da5ce.svg new file mode 100644 index 000000000..dbbe74278 --- /dev/null +++ b/_images/inheritance-271a09a9f6796315acb432a4260c8199a18da5ce.svg @@ -0,0 +1,134 @@ + + +inheritancedd69a9697d + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +ScalarType + + +ScalarType + + + + + +FullType->ScalarType + + + + + +NumericTypeMixin + + +NumericTypeMixin + + + + + +PhysicalType + + +PhysicalType + + + + + +NumericTypeMixin->PhysicalType + + + + + +RangedScalarType + + +RangedScalarType + + + + + +RangedScalarType->PhysicalType + + + + + +ScalarType->RangedScalarType + + + + + \ No newline at end of file diff --git a/_images/inheritance-272820532c1f2a29a0a8fa2672fb7231a3a612a9.svg b/_images/inheritance-272820532c1f2a29a0a8fa2672fb7231a3a612a9.svg new file mode 100644 index 000000000..fe413707c --- /dev/null +++ b/_images/inheritance-272820532c1f2a29a0a8fa2672fb7231a3a612a9.svg @@ -0,0 +1,104 @@ + + +inheritancee51f542c3c + + +CompoundStatement + + +CompoundStatement + + + + + +LoopStatement + + +LoopStatement + + + + + +CompoundStatement->LoopStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->CompoundStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->LoopStatement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-273c985a8fff3830a5e48d206d1f0dce9ceaada8.svg b/_images/inheritance-273c985a8fff3830a5e48d206d1f0dce9ceaada8.svg new file mode 100644 index 000000000..b6c894fc5 --- /dev/null +++ b/_images/inheritance-273c985a8fff3830a5e48d206d1f0dce9ceaada8.svg @@ -0,0 +1,44 @@ + + +inheritance8983f16ae9 + + +Enum + + +Enum + + + + + +Flag + + +Flag + + + + + +Enum->Flag + + + + + +ObjectGraphEdgeKind + + +ObjectGraphEdgeKind + + + + + +Flag->ObjectGraphEdgeKind + + + + + \ No newline at end of file diff --git a/_images/inheritance-27ddbb742bbe7a6409015dad1a5dc0b72162c378.svg b/_images/inheritance-27ddbb742bbe7a6409015dad1a5dc0b72162c378.svg new file mode 100644 index 000000000..fd2d33965 --- /dev/null +++ b/_images/inheritance-27ddbb742bbe7a6409015dad1a5dc0b72162c378.svg @@ -0,0 +1,89 @@ + + +inheritancebdb60137dc + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +ProtectedType + + +ProtectedType + + + + + +FullType->ProtectedType + + + + + \ No newline at end of file diff --git a/_images/inheritance-281117b7f4bdfce467350fabe6f639921d0cc3a8.svg b/_images/inheritance-281117b7f4bdfce467350fabe6f639921d0cc3a8.svg new file mode 100644 index 000000000..587d5f205 --- /dev/null +++ b/_images/inheritance-281117b7f4bdfce467350fabe6f639921d0cc3a8.svg @@ -0,0 +1,119 @@ + + +inheritancebc2dd1965d + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +GenericInstantiationMixin + + +GenericInstantiationMixin + + + + + +SubprogramInstantiationMixin + + +SubprogramInstantiationMixin + + + + + +GenericInstantiationMixin->SubprogramInstantiationMixin + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + +Procedure + + +Procedure + + + + + +ProcedureInstantiation + + +ProcedureInstantiation + + + + + +Procedure->ProcedureInstantiation + + + + + +Subprogram->Procedure + + + + + +SubprogramInstantiationMixin->ProcedureInstantiation + + + + + \ No newline at end of file diff --git a/_images/inheritance-28541d00129dd53c5b34ae039c8bfe6ca655252a.svg b/_images/inheritance-28541d00129dd53c5b34ae039c8bfe6ca655252a.svg new file mode 100644 index 000000000..bff4a3e54 --- /dev/null +++ b/_images/inheritance-28541d00129dd53c5b34ae039c8bfe6ca655252a.svg @@ -0,0 +1,59 @@ + + +inheritanced40cf71c63 + + +AbsoluteExpression + + +AbsoluteExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +UnaryExpression->AbsoluteExpression + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-2905b429671d5369593236667ae1701c683fa2bc.svg b/_images/inheritance-2905b429671d5369593236667ae1701c683fa2bc.svg new file mode 100644 index 000000000..f0bac8017 --- /dev/null +++ b/_images/inheritance-2905b429671d5369593236667ae1701c683fa2bc.svg @@ -0,0 +1,89 @@ + + +inheritance3831631eea + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +NumericLiteral + + +NumericLiteral + + + + + +Literal->NumericLiteral + + + + + +PhysicalLiteral + + +PhysicalLiteral + + + + + +NumericLiteral->PhysicalLiteral + + + + + +PhysicalFloatingLiteral + + +PhysicalFloatingLiteral + + + + + +PhysicalLiteral->PhysicalFloatingLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-290c5d031c21ada9b2cf4f63050e9786996743f7.svg b/_images/inheritance-290c5d031c21ada9b2cf4f63050e9786996743f7.svg new file mode 100644 index 000000000..5132354f4 --- /dev/null +++ b/_images/inheritance-290c5d031c21ada9b2cf4f63050e9786996743f7.svg @@ -0,0 +1,89 @@ + + +inheritance64b1b46af5 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +ShiftArithmeticExpression + + +ShiftArithmeticExpression + + + + + +ShiftRightArithmeticExpression + + +ShiftRightArithmeticExpression + + + + + +ShiftArithmeticExpression->ShiftRightArithmeticExpression + + + + + +ShiftExpression->ShiftArithmeticExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-290ee0aca39e13ae279f92b0fc94c4b60fe99461.svg b/_images/inheritance-290ee0aca39e13ae279f92b0fc94c4b60fe99461.svg new file mode 100644 index 000000000..30aece4dc --- /dev/null +++ b/_images/inheritance-290ee0aca39e13ae279f92b0fc94c4b60fe99461.svg @@ -0,0 +1,89 @@ + + +inheritancee4a784ea0d + + +CaseGenerateStatement + + +CaseGenerateStatement + + + + + +GenerateStatement + + +GenerateStatement + + + + + +GenerateStatement->CaseGenerateStatement + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->GenerateStatement + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-29e77464c2079a5b18f73d0d17599200228405c5.svg b/_images/inheritance-29e77464c2079a5b18f73d0d17599200228405c5.svg new file mode 100644 index 000000000..ecc0c34e2 --- /dev/null +++ b/_images/inheritance-29e77464c2079a5b18f73d0d17599200228405c5.svg @@ -0,0 +1,89 @@ + + +inheritance6b912cd543 + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +FileType + + +FileType + + + + + +FullType->FileType + + + + + \ No newline at end of file diff --git a/_images/inheritance-2a77f087a183e6f01739f013602c51e1e7374d6c.svg b/_images/inheritance-2a77f087a183e6f01739f013602c51e1e7374d6c.svg new file mode 100644 index 000000000..6edf3a17c --- /dev/null +++ b/_images/inheritance-2a77f087a183e6f01739f013602c51e1e7374d6c.svg @@ -0,0 +1,14 @@ + + +inheritanceed470e9ee7 + + +GenericInstantiationMixin + + +GenericInstantiationMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-2b4002b03054d283d3bbe7021bf9675d31c1555d.svg b/_images/inheritance-2b4002b03054d283d3bbe7021bf9675d31c1555d.svg new file mode 100644 index 000000000..a46cf3d52 --- /dev/null +++ b/_images/inheritance-2b4002b03054d283d3bbe7021bf9675d31c1555d.svg @@ -0,0 +1,59 @@ + + +inheritanceb294d5cf0b + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-2b6689144c31d8c1a9ae416470846c2f97eaf08b.svg b/_images/inheritance-2b6689144c31d8c1a9ae416470846c2f97eaf08b.svg new file mode 100644 index 000000000..bc28eaba9 --- /dev/null +++ b/_images/inheritance-2b6689144c31d8c1a9ae416470846c2f97eaf08b.svg @@ -0,0 +1,29 @@ + + +inheritance032a0093b0 + + +Enum + + +Enum + + + + + +VHDLVersion + + +VHDLVersion + + + + + +Enum->VHDLVersion + + + + + \ No newline at end of file diff --git a/_images/inheritance-2b9d1358ca65eeeb96c03ebdea95313c58de7e7d.svg b/_images/inheritance-2b9d1358ca65eeeb96c03ebdea95313c58de7e7d.svg new file mode 100644 index 000000000..34b0b8173 --- /dev/null +++ b/_images/inheritance-2b9d1358ca65eeeb96c03ebdea95313c58de7e7d.svg @@ -0,0 +1,125 @@ + + +inheritance877a8edba2 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +File + + +File + + + + + +ParameterFileInterfaceItem + + +ParameterFileInterfaceItem + + + + + +File->ParameterFileInterfaceItem + + + + + +Obj->File + + + + + +ParameterInterfaceItemMixin + + +ParameterInterfaceItemMixin + + + + + +InterfaceItemMixin->ParameterInterfaceItemMixin + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + +ParameterInterfaceItemMixin->ParameterFileInterfaceItem + + + + + \ No newline at end of file diff --git a/_images/inheritance-2c1be95df9c795155957caa7e909fbd47d57c4b7.svg b/_images/inheritance-2c1be95df9c795155957caa7e909fbd47d57c4b7.svg new file mode 100644 index 000000000..7c184cea2 --- /dev/null +++ b/_images/inheritance-2c1be95df9c795155957caa7e909fbd47d57c4b7.svg @@ -0,0 +1,149 @@ + + +inheritancef8cf8b22ea + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-2dfc5c810579fd745f981c806b5447c0d406eca4.svg b/_images/inheritance-2dfc5c810579fd745f981c806b5447c0d406eca4.svg new file mode 100644 index 000000000..6a8bdbdbd --- /dev/null +++ b/_images/inheritance-2dfc5c810579fd745f981c806b5447c0d406eca4.svg @@ -0,0 +1,74 @@ + + +inheritance1106d0f985 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +LessEqualExpression + + +LessEqualExpression + + + + + +RelationalExpression->LessEqualExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-2fec4cdabffcf103de26085c1ccfb799cb2ff7da.svg b/_images/inheritance-2fec4cdabffcf103de26085c1ccfb799cb2ff7da.svg new file mode 100644 index 000000000..460d5d5e6 --- /dev/null +++ b/_images/inheritance-2fec4cdabffcf103de26085c1ccfb799cb2ff7da.svg @@ -0,0 +1,74 @@ + + +inheritance30d8251100 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +LogicalExpression + + +LogicalExpression + + + + + +BinaryExpression->LogicalExpression + + + + + +NandExpression + + +NandExpression + + + + + +LogicalExpression->NandExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-31917a07f9c74883b0b071d0950bba17fa4b483e.svg b/_images/inheritance-31917a07f9c74883b0b071d0950bba17fa4b483e.svg new file mode 100644 index 000000000..acf7d1a81 --- /dev/null +++ b/_images/inheritance-31917a07f9c74883b0b071d0950bba17fa4b483e.svg @@ -0,0 +1,29 @@ + + +inheritance58aa68d443 + + +ConfigurationExistsInLibraryError + + +ConfigurationExistsInLibraryError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->ConfigurationExistsInLibraryError + + + + + \ No newline at end of file diff --git a/_images/inheritance-31e7f8f47047677be8646afba707211a5d433526.svg b/_images/inheritance-31e7f8f47047677be8646afba707211a5d433526.svg new file mode 100644 index 000000000..975a8845d --- /dev/null +++ b/_images/inheritance-31e7f8f47047677be8646afba707211a5d433526.svg @@ -0,0 +1,74 @@ + + +inheritancecb7d50c04f + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +FloatingPointLiteral + + +FloatingPointLiteral + + + + + +NumericLiteral + + +NumericLiteral + + + + + +NumericLiteral->FloatingPointLiteral + + + + + +Literal->NumericLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-32311b53970bde2eaeacd61d7ee0a98d2cc74b75.svg b/_images/inheritance-32311b53970bde2eaeacd61d7ee0a98d2cc74b75.svg new file mode 100644 index 000000000..f8662cc48 --- /dev/null +++ b/_images/inheritance-32311b53970bde2eaeacd61d7ee0a98d2cc74b75.svg @@ -0,0 +1,44 @@ + + +inheritance70e11edd31 + + +AssociationItem + + +AssociationItem + + + + + +GenericAssociationItem + + +GenericAssociationItem + + + + + +AssociationItem->GenericAssociationItem + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AssociationItem + + + + + \ No newline at end of file diff --git a/_images/inheritance-34292389c4ec9be3fd551c9350fa31eaaaf86a5e.svg b/_images/inheritance-34292389c4ec9be3fd551c9350fa31eaaaf86a5e.svg new file mode 100644 index 000000000..9f149700c --- /dev/null +++ b/_images/inheritance-34292389c4ec9be3fd551c9350fa31eaaaf86a5e.svg @@ -0,0 +1,89 @@ + + +inheritance3919089eb6 + + +ConditionalMixin + + +ConditionalMixin + + + + + +LoopControlStatement + + +LoopControlStatement + + + + + +ConditionalMixin->LoopControlStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->LoopControlStatement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-345d88e49ad3588d8ca0db5f5be79b13c2e0577d.svg b/_images/inheritance-345d88e49ad3588d8ca0db5f5be79b13c2e0577d.svg new file mode 100644 index 000000000..a10999b1f --- /dev/null +++ b/_images/inheritance-345d88e49ad3588d8ca0db5f5be79b13c2e0577d.svg @@ -0,0 +1,59 @@ + + +inheritancea93cf76d15 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +GenericInterfaceItemMixin + + +GenericInterfaceItemMixin + + + + + +GenericPackageInterfaceItem + + +GenericPackageInterfaceItem + + + + + +GenericInterfaceItemMixin->GenericPackageInterfaceItem + + + + + +InterfaceItemMixin->GenericInterfaceItemMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-352e25d35cac2441a62f1a612784c98a807790bc.svg b/_images/inheritance-352e25d35cac2441a62f1a612784c98a807790bc.svg new file mode 100644 index 000000000..36b0f8ac3 --- /dev/null +++ b/_images/inheritance-352e25d35cac2441a62f1a612784c98a807790bc.svg @@ -0,0 +1,104 @@ + + +inheritancef51d41baf9 + + +BaseConstant + + +BaseConstant + + + + + +Constant + + +Constant + + + + + +BaseConstant->Constant + + + + + +Obj + + +Obj + + + + + +Obj->BaseConstant + + + + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + +WithDefaultExpressionMixin->Constant + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Obj + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + \ No newline at end of file diff --git a/_images/inheritance-35314c39a752813cdc075e8e128cc4ec267f092d.svg b/_images/inheritance-35314c39a752813cdc075e8e128cc4ec267f092d.svg new file mode 100644 index 000000000..2a3c7c396 --- /dev/null +++ b/_images/inheritance-35314c39a752813cdc075e8e128cc4ec267f092d.svg @@ -0,0 +1,29 @@ + + +inheritancec2e8d97c35 + + +BranchMixin + + +BranchMixin + + + + + +ElseBranchMixin + + +ElseBranchMixin + + + + + +BranchMixin->ElseBranchMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-357e830e93a4e9e79849abf8c85813de7ec32271.svg b/_images/inheritance-357e830e93a4e9e79849abf8c85813de7ec32271.svg new file mode 100644 index 000000000..ad6601b77 --- /dev/null +++ b/_images/inheritance-357e830e93a4e9e79849abf8c85813de7ec32271.svg @@ -0,0 +1,164 @@ + + +inheritance7d5ce11772 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Numeric_Std_Unsigned + + +Numeric_Std_Unsigned + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Numeric_Std_Unsigned + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-35b30e110230bc5804930b75bea1cf512bb5ea57.svg b/_images/inheritance-35b30e110230bc5804930b75bea1cf512bb5ea57.svg new file mode 100644 index 000000000..18c607afc --- /dev/null +++ b/_images/inheritance-35b30e110230bc5804930b75bea1cf512bb5ea57.svg @@ -0,0 +1,44 @@ + + +inheritancedfc13750a8 + + +ConstrainedCompositeSubtypeSymbol + + +ConstrainedCompositeSubtypeSymbol + + + + + +SubtypeSymbol + + +SubtypeSymbol + + + + + +SubtypeSymbol->ConstrainedCompositeSubtypeSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->SubtypeSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-35cb18527bd39be5b74c0d6a21d1de3e0b756e97.svg b/_images/inheritance-35cb18527bd39be5b74c0d6a21d1de3e0b756e97.svg new file mode 100644 index 000000000..2aac440b4 --- /dev/null +++ b/_images/inheritance-35cb18527bd39be5b74c0d6a21d1de3e0b756e97.svg @@ -0,0 +1,89 @@ + + +inheritanced4b0a75683 + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +NumericLiteral + + +NumericLiteral + + + + + +Literal->NumericLiteral + + + + + +PhysicalLiteral + + +PhysicalLiteral + + + + + +NumericLiteral->PhysicalLiteral + + + + + +PhysicalIntegerLiteral + + +PhysicalIntegerLiteral + + + + + +PhysicalLiteral->PhysicalIntegerLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-37a5e2cffd8e10f3573161bef527ed6164b3ae27.svg b/_images/inheritance-37a5e2cffd8e10f3573161bef527ed6164b3ae27.svg new file mode 100644 index 000000000..3df1d243f --- /dev/null +++ b/_images/inheritance-37a5e2cffd8e10f3573161bef527ed6164b3ae27.svg @@ -0,0 +1,29 @@ + + +inheritance54e9bed8f1 + + +SubtypeSymbol + + +SubtypeSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->SubtypeSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-37dc2924cd36faa4da5761c0c8486f7cb3d8eef6.svg b/_images/inheritance-37dc2924cd36faa4da5761c0c8486f7cb3d8eef6.svg new file mode 100644 index 000000000..0dc11dc67 --- /dev/null +++ b/_images/inheritance-37dc2924cd36faa4da5761c0c8486f7cb3d8eef6.svg @@ -0,0 +1,59 @@ + + +inheritance184430c792 + + +BaseType + + +BaseType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + \ No newline at end of file diff --git a/_images/inheritance-380b7fc9881ae68db78cdb0de3cb73fc2ef34c09.svg b/_images/inheritance-380b7fc9881ae68db78cdb0de3cb73fc2ef34c09.svg new file mode 100644 index 000000000..8adfd4db5 --- /dev/null +++ b/_images/inheritance-380b7fc9881ae68db78cdb0de3cb73fc2ef34c09.svg @@ -0,0 +1,29 @@ + + +inheritanced056e10fcb + + +AssignmentMixin + + +AssignmentMixin + + + + + +VariableAssignmentMixin + + +VariableAssignmentMixin + + + + + +AssignmentMixin->VariableAssignmentMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-38e0f2f5528808d531bd32d3a0926100413c51a6.svg b/_images/inheritance-38e0f2f5528808d531bd32d3a0926100413c51a6.svg new file mode 100644 index 000000000..0738c8095 --- /dev/null +++ b/_images/inheritance-38e0f2f5528808d531bd32d3a0926100413c51a6.svg @@ -0,0 +1,44 @@ + + +inheritance62eb6f6735 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-394ad453475137d54d9eb75020e3334c6bc2b87f.svg b/_images/inheritance-394ad453475137d54d9eb75020e3334c6bc2b87f.svg new file mode 100644 index 000000000..ac9231b20 --- /dev/null +++ b/_images/inheritance-394ad453475137d54d9eb75020e3334c6bc2b87f.svg @@ -0,0 +1,29 @@ + + +inheritance9b3ae9657c + + +Enum + + +Enum + + + + + +Mode + + +Mode + + + + + +Enum->Mode + + + + + \ No newline at end of file diff --git a/_images/inheritance-395032772e7419aa54e27024d17def99bba7839b.svg b/_images/inheritance-395032772e7419aa54e27024d17def99bba7839b.svg new file mode 100644 index 000000000..db23c6eaf --- /dev/null +++ b/_images/inheritance-395032772e7419aa54e27024d17def99bba7839b.svg @@ -0,0 +1,29 @@ + + +inheritance6211b518f9 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-3a610caa70ee9b06e7f08a2ca7687790a7458328.svg b/_images/inheritance-3a610caa70ee9b06e7f08a2ca7687790a7458328.svg new file mode 100644 index 000000000..bbca7f862 --- /dev/null +++ b/_images/inheritance-3a610caa70ee9b06e7f08a2ca7687790a7458328.svg @@ -0,0 +1,59 @@ + + +inheritance333edd468d + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +UnaryNandExpression + + +UnaryNandExpression + + + + + +UnaryExpression->UnaryNandExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-3a9e331b9c82ab5e2be22e28e7c1812678996ab3.svg b/_images/inheritance-3a9e331b9c82ab5e2be22e28e7c1812678996ab3.svg new file mode 100644 index 000000000..051bc08f2 --- /dev/null +++ b/_images/inheritance-3a9e331b9c82ab5e2be22e28e7c1812678996ab3.svg @@ -0,0 +1,14 @@ + + +inheritance4124164906 + + +ReportStatementMixin + + +ReportStatementMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-3b0f78bfbd8436235a13348656c8408f5ef5e91a.svg b/_images/inheritance-3b0f78bfbd8436235a13348656c8408f5ef5e91a.svg new file mode 100644 index 000000000..33f3a830e --- /dev/null +++ b/_images/inheritance-3b0f78bfbd8436235a13348656c8408f5ef5e91a.svg @@ -0,0 +1,44 @@ + + +inheritance7968cf5b49 + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-3b17e6aa6cefcc176a84b2e91ef3e15b5dfc9650.svg b/_images/inheritance-3b17e6aa6cefcc176a84b2e91ef3e15b5dfc9650.svg new file mode 100644 index 000000000..6cceac732 --- /dev/null +++ b/_images/inheritance-3b17e6aa6cefcc176a84b2e91ef3e15b5dfc9650.svg @@ -0,0 +1,59 @@ + + +inheritancee6449dc3a9 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +IdentityExpression + + +IdentityExpression + + + + + +UnaryExpression->IdentityExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-3b60557e9f4b763db0ed1d1042ee6e7a22f63022.svg b/_images/inheritance-3b60557e9f4b763db0ed1d1042ee6e7a22f63022.svg new file mode 100644 index 000000000..a26cebae3 --- /dev/null +++ b/_images/inheritance-3b60557e9f4b763db0ed1d1042ee6e7a22f63022.svg @@ -0,0 +1,119 @@ + + +inheritance5d99679732 + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +DiscreteTypeMixin + + +DiscreteTypeMixin + + + + + +EnumeratedType + + +EnumeratedType + + + + + +DiscreteTypeMixin->EnumeratedType + + + + + +ScalarType + + +ScalarType + + + + + +ScalarType->EnumeratedType + + + + + +FullType->ScalarType + + + + + \ No newline at end of file diff --git a/_images/inheritance-3bac3ea50ad75bd79158b22d07b42cff8e4e3867.svg b/_images/inheritance-3bac3ea50ad75bd79158b22d07b42cff8e4e3867.svg new file mode 100644 index 000000000..884f25199 --- /dev/null +++ b/_images/inheritance-3bac3ea50ad75bd79158b22d07b42cff8e4e3867.svg @@ -0,0 +1,59 @@ + + +inheritanceeeec406266 + + +BranchMixin + + +BranchMixin + + + + + +ConditionalBranchMixin + + +ConditionalBranchMixin + + + + + +BranchMixin->ConditionalBranchMixin + + + + + +IfBranchMixin + + +IfBranchMixin + + + + + +ConditionalBranchMixin->IfBranchMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->ConditionalBranchMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-3c096ed575f0b2fdd08ae49fba8759be05ed7b28.svg b/_images/inheritance-3c096ed575f0b2fdd08ae49fba8759be05ed7b28.svg new file mode 100644 index 000000000..4ce9ef21f --- /dev/null +++ b/_images/inheritance-3c096ed575f0b2fdd08ae49fba8759be05ed7b28.svg @@ -0,0 +1,74 @@ + + +inheritance9964fc2623 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ParenthesisExpression + + +ParenthesisExpression + + + + + +SubExpression + + +SubExpression + + + + + +ParenthesisExpression->SubExpression + + + + + +UnaryExpression->SubExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-3e9502ab10aa91c0d8ae92e0bd8e80f0a9b882ab.svg b/_images/inheritance-3e9502ab10aa91c0d8ae92e0bd8e80f0a9b882ab.svg new file mode 100644 index 000000000..61a0a1f57 --- /dev/null +++ b/_images/inheritance-3e9502ab10aa91c0d8ae92e0bd8e80f0a9b882ab.svg @@ -0,0 +1,74 @@ + + +inheritance3923ebf04f + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +MultiplyingExpression + + +MultiplyingExpression + + + + + +BinaryExpression->MultiplyingExpression + + + + + +MultiplyExpression + + +MultiplyExpression + + + + + +MultiplyingExpression->MultiplyExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-3f10e2bae567c8e3b49fbfc01c8a4231330b260f.svg b/_images/inheritance-3f10e2bae567c8e3b49fbfc01c8a4231330b260f.svg new file mode 100644 index 000000000..05c353091 --- /dev/null +++ b/_images/inheritance-3f10e2bae567c8e3b49fbfc01c8a4231330b260f.svg @@ -0,0 +1,44 @@ + + +inheritance3a1f6dbffb + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +ParameterInterfaceItemMixin + + +ParameterInterfaceItemMixin + + + + + +InterfaceItemMixin->ParameterInterfaceItemMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-3f4a2bc449689528c3d786d88a7ece5145c2968c.svg b/_images/inheritance-3f4a2bc449689528c3d786d88a7ece5145c2968c.svg new file mode 100644 index 000000000..2ae90463d --- /dev/null +++ b/_images/inheritance-3f4a2bc449689528c3d786d88a7ece5145c2968c.svg @@ -0,0 +1,74 @@ + + +inheritance29e4db7ad8 + + +BaseType + + +BaseType + + + + + +Type + + +Type + + + + + +BaseType->Type + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + \ No newline at end of file diff --git a/_images/inheritance-41b344ba3d0301a16d5dd2cb4e85ba8967e1547f.svg b/_images/inheritance-41b344ba3d0301a16d5dd2cb4e85ba8967e1547f.svg new file mode 100644 index 000000000..80817e3fe --- /dev/null +++ b/_images/inheritance-41b344ba3d0301a16d5dd2cb4e85ba8967e1547f.svg @@ -0,0 +1,59 @@ + + +inheritancee719cdbb52 + + +Allocation + + +Allocation + + + + + +QualifiedExpressionAllocation + + +QualifiedExpressionAllocation + + + + + +Allocation->QualifiedExpressionAllocation + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->Allocation + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-423dfdf4336e4ae0af40c6a5839c483bc76b7c39.svg b/_images/inheritance-423dfdf4336e4ae0af40c6a5839c483bc76b7c39.svg new file mode 100644 index 000000000..a0eb78435 --- /dev/null +++ b/_images/inheritance-423dfdf4336e4ae0af40c6a5839c483bc76b7c39.svg @@ -0,0 +1,119 @@ + + +inheritance9aa24fd915 + + +Branch + + +Branch + + + + + +IfBranch + + +IfBranch + + + + + +Branch->IfBranch + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Branch + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->Branch + + + + + +BranchMixin + + +BranchMixin + + + + + +ConditionalBranchMixin + + +ConditionalBranchMixin + + + + + +BranchMixin->ConditionalBranchMixin + + + + + +IfBranchMixin + + +IfBranchMixin + + + + + +ConditionalBranchMixin->IfBranchMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->ConditionalBranchMixin + + + + + +IfBranchMixin->IfBranch + + + + + \ No newline at end of file diff --git a/_images/inheritance-42408ca7511162044f8d47b7c86b515ba964db31.svg b/_images/inheritance-42408ca7511162044f8d47b7c86b515ba964db31.svg new file mode 100644 index 000000000..a05b5fe20 --- /dev/null +++ b/_images/inheritance-42408ca7511162044f8d47b7c86b515ba964db31.svg @@ -0,0 +1,14 @@ + + +inheritancea23c690b7f + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-429195c6fb2b89e5a8ac3159153cd3417e2b9949.svg b/_images/inheritance-429195c6fb2b89e5a8ac3159153cd3417e2b9949.svg new file mode 100644 index 000000000..57cf9da1b --- /dev/null +++ b/_images/inheritance-429195c6fb2b89e5a8ac3159153cd3417e2b9949.svg @@ -0,0 +1,164 @@ + + +inheritanceca42a46f40 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Float_Generic_Pkg_Body + + +Float_Generic_Pkg_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Float_Generic_Pkg_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-44315bec5bc076de8160c0149693437d4be2ad53.svg b/_images/inheritance-44315bec5bc076de8160c0149693437d4be2ad53.svg new file mode 100644 index 000000000..2f636f6b9 --- /dev/null +++ b/_images/inheritance-44315bec5bc076de8160c0149693437d4be2ad53.svg @@ -0,0 +1,89 @@ + + +inheritance5e2f317a3b + + +BaseConstant + + +BaseConstant + + + + + +DeferredConstant + + +DeferredConstant + + + + + +BaseConstant->DeferredConstant + + + + + +Obj + + +Obj + + + + + +Obj->BaseConstant + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Obj + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + \ No newline at end of file diff --git a/_images/inheritance-4592a17de4cbcb18b52a279643351a8eb6618321.svg b/_images/inheritance-4592a17de4cbcb18b52a279643351a8eb6618321.svg new file mode 100644 index 000000000..1fe22f370 --- /dev/null +++ b/_images/inheritance-4592a17de4cbcb18b52a279643351a8eb6618321.svg @@ -0,0 +1,164 @@ + + +inheritanced6e728915f + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +Standard_Body + + +Standard_Body + + + + + +PredefinedPackageBody->Standard_Body + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-45c2cff9003bc23a3bce6dc643cf72f3e3614886.svg b/_images/inheritance-45c2cff9003bc23a3bce6dc643cf72f3e3614886.svg new file mode 100644 index 000000000..e3ea0b3bb --- /dev/null +++ b/_images/inheritance-45c2cff9003bc23a3bce6dc643cf72f3e3614886.svg @@ -0,0 +1,164 @@ + + +inheritancef86839373d + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Numeric_Std + + +Numeric_Std + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Numeric_Std + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-46b9cfba2e99e83f5f999b8316baa0cdca6b2a5d.svg b/_images/inheritance-46b9cfba2e99e83f5f999b8316baa0cdca6b2a5d.svg new file mode 100644 index 000000000..1e4624871 --- /dev/null +++ b/_images/inheritance-46b9cfba2e99e83f5f999b8316baa0cdca6b2a5d.svg @@ -0,0 +1,89 @@ + + +inheritance5ed14ca82c + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + +Signal + + +Signal + + + + + +Obj->Signal + + + + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + +WithDefaultExpressionMixin->Signal + + + + + \ No newline at end of file diff --git a/_images/inheritance-46beeda1f78436a41b827c0e8febaf7e495cd8b6.svg b/_images/inheritance-46beeda1f78436a41b827c0e8febaf7e495cd8b6.svg new file mode 100644 index 000000000..b22504a72 --- /dev/null +++ b/_images/inheritance-46beeda1f78436a41b827c0e8febaf7e495cd8b6.svg @@ -0,0 +1,170 @@ + + +inheritance4f7ab58da6 + + +BaseConstant + + +BaseConstant + + + + + +Constant + + +Constant + + + + + +BaseConstant->Constant + + + + + +Obj + + +Obj + + + + + +Obj->BaseConstant + + + + + +ParameterConstantInterfaceItem + + +ParameterConstantInterfaceItem + + + + + +Constant->ParameterConstantInterfaceItem + + + + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + +WithDefaultExpressionMixin->Constant + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Obj + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +ParameterInterfaceItemMixin + + +ParameterInterfaceItemMixin + + + + + +InterfaceItemMixin->ParameterInterfaceItemMixin + + + + + +InterfaceItemWithModeMixin + + +InterfaceItemWithModeMixin + + + + + +InterfaceItemWithModeMixin->ParameterConstantInterfaceItem + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + +ParameterInterfaceItemMixin->ParameterConstantInterfaceItem + + + + + \ No newline at end of file diff --git a/_images/inheritance-46e0449c094995ed4ad03cfdf76bc82c3b70b7b5.svg b/_images/inheritance-46e0449c094995ed4ad03cfdf76bc82c3b70b7b5.svg new file mode 100644 index 000000000..1186b15cd --- /dev/null +++ b/_images/inheritance-46e0449c094995ed4ad03cfdf76bc82c3b70b7b5.svg @@ -0,0 +1,74 @@ + + +inheritancec236a433c6 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +RotateExpression + + +RotateExpression + + + + + +ShiftExpression->RotateExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-4ab654ead496418b3988f34efa1d75d628da5e29.svg b/_images/inheritance-4ab654ead496418b3988f34efa1d75d628da5e29.svg new file mode 100644 index 000000000..9ab28f572 --- /dev/null +++ b/_images/inheritance-4ab654ead496418b3988f34efa1d75d628da5e29.svg @@ -0,0 +1,59 @@ + + +inheritanceeba6ed54d2 + + +ConstrainedCompositeSubtypeSymbol + + +ConstrainedCompositeSubtypeSymbol + + + + + +ConstrainedRecordSubtypeSymbol + + +ConstrainedRecordSubtypeSymbol + + + + + +ConstrainedCompositeSubtypeSymbol->ConstrainedRecordSubtypeSymbol + + + + + +SubtypeSymbol + + +SubtypeSymbol + + + + + +SubtypeSymbol->ConstrainedCompositeSubtypeSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->SubtypeSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-4adb230daed6285120374c7ea3e887cffd1d81c9.svg b/_images/inheritance-4adb230daed6285120374c7ea3e887cffd1d81c9.svg new file mode 100644 index 000000000..d56702443 --- /dev/null +++ b/_images/inheritance-4adb230daed6285120374c7ea3e887cffd1d81c9.svg @@ -0,0 +1,14 @@ + + +inheritanceddf6ec703c + + +SequentialDeclarationsMixin + + +SequentialDeclarationsMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-4b33f457501211bfbb23363176a49fd13c1ff6fa.svg b/_images/inheritance-4b33f457501211bfbb23363176a49fd13c1ff6fa.svg new file mode 100644 index 000000000..e389f3f5d --- /dev/null +++ b/_images/inheritance-4b33f457501211bfbb23363176a49fd13c1ff6fa.svg @@ -0,0 +1,29 @@ + + +inheritancefe3b3b54df + + +ComponentInstantiationSymbol + + +ComponentInstantiationSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->ComponentInstantiationSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-4b9941c529ef4f6f0078628c89cca3ad17db40f2.svg b/_images/inheritance-4b9941c529ef4f6f0078628c89cca3ad17db40f2.svg new file mode 100644 index 000000000..3ffefce2d --- /dev/null +++ b/_images/inheritance-4b9941c529ef4f6f0078628c89cca3ad17db40f2.svg @@ -0,0 +1,44 @@ + + +inheritance249cf4f211 + + +BaseChoice + + +BaseChoice + + + + + +SequentialChoice + + +SequentialChoice + + + + + +BaseChoice->SequentialChoice + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseChoice + + + + + \ No newline at end of file diff --git a/_images/inheritance-4cb49917fa302c8f3e1e26ade53ad758438c827f.svg b/_images/inheritance-4cb49917fa302c8f3e1e26ade53ad758438c827f.svg new file mode 100644 index 000000000..b0d163a97 --- /dev/null +++ b/_images/inheritance-4cb49917fa302c8f3e1e26ade53ad758438c827f.svg @@ -0,0 +1,89 @@ + + +inheritance59e80f9892 + + +ConditionalMixin + + +ConditionalMixin + + + + + +WaitStatement + + +WaitStatement + + + + + +ConditionalMixin->WaitStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->WaitStatement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-4d48d6836da0d1c338c1fcc0f9d72b99a67f5180.svg b/_images/inheritance-4d48d6836da0d1c338c1fcc0f9d72b99a67f5180.svg new file mode 100644 index 000000000..04c0dcab2 --- /dev/null +++ b/_images/inheritance-4d48d6836da0d1c338c1fcc0f9d72b99a67f5180.svg @@ -0,0 +1,74 @@ + + +inheritancef36fc54008 + + +AddingExpression + + +AddingExpression + + + + + +SubtractionExpression + + +SubtractionExpression + + + + + +AddingExpression->SubtractionExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BinaryExpression->AddingExpression + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-4e18631faef1918868dcb059c920499137a8d784.svg b/_images/inheritance-4e18631faef1918868dcb059c920499137a8d784.svg new file mode 100644 index 000000000..80c2fdd2f --- /dev/null +++ b/_images/inheritance-4e18631faef1918868dcb059c920499137a8d784.svg @@ -0,0 +1,104 @@ + + +inheritance800fdd174a + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +CompositeType + + +CompositeType + + + + + +RecordType + + +RecordType + + + + + +CompositeType->RecordType + + + + + +FullType->CompositeType + + + + + \ No newline at end of file diff --git a/_images/inheritance-4e6b3840e90d43a97aeea8ac0fd7315903ccc2ca.svg b/_images/inheritance-4e6b3840e90d43a97aeea8ac0fd7315903ccc2ca.svg new file mode 100644 index 000000000..437405c11 --- /dev/null +++ b/_images/inheritance-4e6b3840e90d43a97aeea8ac0fd7315903ccc2ca.svg @@ -0,0 +1,74 @@ + + +inheritanced125c42cf7 + + +AndExpression + + +AndExpression + + + + + +LogicalExpression + + +LogicalExpression + + + + + +LogicalExpression->AndExpression + + + + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +BinaryExpression->LogicalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-50b4fbd23756991dc96e1c59c360d04b5b3c5023.svg b/_images/inheritance-50b4fbd23756991dc96e1c59c360d04b5b3c5023.svg new file mode 100644 index 000000000..cc7c27e22 --- /dev/null +++ b/_images/inheritance-50b4fbd23756991dc96e1c59c360d04b5b3c5023.svg @@ -0,0 +1,89 @@ + + +inheritancee0c6999752 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +MatchingEqualExpression + + +MatchingEqualExpression + + + + + +MatchingRelationalExpression + + +MatchingRelationalExpression + + + + + +MatchingRelationalExpression->MatchingEqualExpression + + + + + +RelationalExpression->MatchingRelationalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-5366cf423fd383534fe9726ce0ea94ceb83bebba.svg b/_images/inheritance-5366cf423fd383534fe9726ce0ea94ceb83bebba.svg new file mode 100644 index 000000000..2d4bda9de --- /dev/null +++ b/_images/inheritance-5366cf423fd383534fe9726ce0ea94ceb83bebba.svg @@ -0,0 +1,74 @@ + + +inheritance71fa07082e + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-543a9ac8018e7791e2e89e45026524acec11661b.svg b/_images/inheritance-543a9ac8018e7791e2e89e45026524acec11661b.svg new file mode 100644 index 000000000..8b5efd5af --- /dev/null +++ b/_images/inheritance-543a9ac8018e7791e2e89e45026524acec11661b.svg @@ -0,0 +1,29 @@ + + +inheritance0422672534 + + +SimpleObjectOrFunctionCallSymbol + + +SimpleObjectOrFunctionCallSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->SimpleObjectOrFunctionCallSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-553737de38994b22a726250892b3d17d1a30e32e.svg b/_images/inheritance-553737de38994b22a726250892b3d17d1a30e32e.svg new file mode 100644 index 000000000..4d224503d --- /dev/null +++ b/_images/inheritance-553737de38994b22a726250892b3d17d1a30e32e.svg @@ -0,0 +1,119 @@ + + +inheritance9497273ad0 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +Function + + +Function + + + + + +FunctionInstantiation + + +FunctionInstantiation + + + + + +Function->FunctionInstantiation + + + + + +Subprogram->Function + + + + + +SubprogramInstantiationMixin + + +SubprogramInstantiationMixin + + + + + +SubprogramInstantiationMixin->FunctionInstantiation + + + + + +GenericInstantiationMixin + + +GenericInstantiationMixin + + + + + +GenericInstantiationMixin->SubprogramInstantiationMixin + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + \ No newline at end of file diff --git a/_images/inheritance-562ddba870219d8141a5a6d1dddd3063a083ec1e.svg b/_images/inheritance-562ddba870219d8141a5a6d1dddd3063a083ec1e.svg new file mode 100644 index 000000000..2f704198f --- /dev/null +++ b/_images/inheritance-562ddba870219d8141a5a6d1dddd3063a083ec1e.svg @@ -0,0 +1,44 @@ + + +inheritance9e237bd57d + + +ModelEntity + + +ModelEntity + + + + + +Name + + +Name + + + + + +ModelEntity->Name + + + + + +SimpleName + + +SimpleName + + + + + +Name->SimpleName + + + + + \ No newline at end of file diff --git a/_images/inheritance-573832d933ea228650493c3b430168fedbdbdba8.svg b/_images/inheritance-573832d933ea228650493c3b430168fedbdbdba8.svg new file mode 100644 index 000000000..71ce34f04 --- /dev/null +++ b/_images/inheritance-573832d933ea228650493c3b430168fedbdbdba8.svg @@ -0,0 +1,119 @@ + + +inheritanceb7b299c29c + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +ForGenerateStatement + + +ForGenerateStatement + + + + + +ConcurrentDeclarationRegionMixin->ForGenerateStatement + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +GenerateStatement + + +GenerateStatement + + + + + +ConcurrentStatement->GenerateStatement + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->ForGenerateStatement + + + + + +GenerateStatement->ForGenerateStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-57686a7e6a127cf4d05c7950a27e1f97f223a688.svg b/_images/inheritance-57686a7e6a127cf4d05c7950a27e1f97f223a688.svg new file mode 100644 index 000000000..a17445308 --- /dev/null +++ b/_images/inheritance-57686a7e6a127cf4d05c7950a27e1f97f223a688.svg @@ -0,0 +1,164 @@ + + +inheritance927e04c35b + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Fixed_Generic_Pkg_Body + + +Fixed_Generic_Pkg_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Fixed_Generic_Pkg_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-59b728d6ef5b44599d3149f633baf7a2235cb73e.svg b/_images/inheritance-59b728d6ef5b44599d3149f633baf7a2235cb73e.svg new file mode 100644 index 000000000..a3d3ec697 --- /dev/null +++ b/_images/inheritance-59b728d6ef5b44599d3149f633baf7a2235cb73e.svg @@ -0,0 +1,134 @@ + + +inheritanceb8bc770ac8 + + +BranchMixin + + +BranchMixin + + + + + +ConditionalBranchMixin + + +ConditionalBranchMixin + + + + + +BranchMixin->ConditionalBranchMixin + + + + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +GenerateBranch + + +GenerateBranch + + + + + +ConcurrentDeclarationRegionMixin->GenerateBranch + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->GenerateBranch + + + + + +IfBranchMixin + + +IfBranchMixin + + + + + +ConditionalBranchMixin->IfBranchMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->ConditionalBranchMixin + + + + + +IfGenerateBranch + + +IfGenerateBranch + + + + + +GenerateBranch->IfGenerateBranch + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->GenerateBranch + + + + + +IfBranchMixin->IfGenerateBranch + + + + + \ No newline at end of file diff --git a/_images/inheritance-59faebdf0315c42a89350f3c9f3ae48264a0cf9a.svg b/_images/inheritance-59faebdf0315c42a89350f3c9f3ae48264a0cf9a.svg new file mode 100644 index 000000000..9fa84daa6 --- /dev/null +++ b/_images/inheritance-59faebdf0315c42a89350f3c9f3ae48264a0cf9a.svg @@ -0,0 +1,74 @@ + + +inheritancecc9cd2a534 + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-5a815b55a9bb3014875357ea985e5b5157aef262.svg b/_images/inheritance-5a815b55a9bb3014875357ea985e5b5157aef262.svg new file mode 100644 index 000000000..e3e06836e --- /dev/null +++ b/_images/inheritance-5a815b55a9bb3014875357ea985e5b5157aef262.svg @@ -0,0 +1,29 @@ + + +inheritance1816d03226 + + +PackageExistsInLibraryError + + +PackageExistsInLibraryError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->PackageExistsInLibraryError + + + + + \ No newline at end of file diff --git a/_images/inheritance-5ad1db8f0defb30275fdcaa3a7cf6ccd31365cf5.svg b/_images/inheritance-5ad1db8f0defb30275fdcaa3a7cf6ccd31365cf5.svg new file mode 100644 index 000000000..720d976e8 --- /dev/null +++ b/_images/inheritance-5ad1db8f0defb30275fdcaa3a7cf6ccd31365cf5.svg @@ -0,0 +1,119 @@ + + +inheritance16fd4d227e + + +Branch + + +Branch + + + + + +ElsifBranch + + +ElsifBranch + + + + + +Branch->ElsifBranch + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Branch + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->Branch + + + + + +BranchMixin + + +BranchMixin + + + + + +ConditionalBranchMixin + + +ConditionalBranchMixin + + + + + +BranchMixin->ConditionalBranchMixin + + + + + +ElsifBranchMixin + + +ElsifBranchMixin + + + + + +ConditionalBranchMixin->ElsifBranchMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->ConditionalBranchMixin + + + + + +ElsifBranchMixin->ElsifBranch + + + + + \ No newline at end of file diff --git a/_images/inheritance-5b4fdf2522dc9f1f98a7379935aded446474cea0.svg b/_images/inheritance-5b4fdf2522dc9f1f98a7379935aded446474cea0.svg new file mode 100644 index 000000000..8ca8e0cdb --- /dev/null +++ b/_images/inheritance-5b4fdf2522dc9f1f98a7379935aded446474cea0.svg @@ -0,0 +1,89 @@ + + +inheritance440e26d3e9 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +RotateExpression + + +RotateExpression + + + + + +RotateLeftExpression + + +RotateLeftExpression + + + + + +RotateExpression->RotateLeftExpression + + + + + +ShiftExpression->RotateExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-5b67b021f9204aa855b235a2231a9c7442c13887.svg b/_images/inheritance-5b67b021f9204aa855b235a2231a9c7442c13887.svg new file mode 100644 index 000000000..e928b9b78 --- /dev/null +++ b/_images/inheritance-5b67b021f9204aa855b235a2231a9c7442c13887.svg @@ -0,0 +1,29 @@ + + +inheritancefe9130ef2a + + +ContextExistsInLibraryError + + +ContextExistsInLibraryError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->ContextExistsInLibraryError + + + + + \ No newline at end of file diff --git a/_images/inheritance-5b8b1f88d263f33685e59476a466ee8bb16a1e18.svg b/_images/inheritance-5b8b1f88d263f33685e59476a466ee8bb16a1e18.svg new file mode 100644 index 000000000..f7109924b --- /dev/null +++ b/_images/inheritance-5b8b1f88d263f33685e59476a466ee8bb16a1e18.svg @@ -0,0 +1,164 @@ + + +inheritancea5a65ccf06 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +Std_logic_1164_Body + + +Std_logic_1164_Body + + + + + +PredefinedPackageBody->Std_logic_1164_Body + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-5c0a3c9476f1c40c754f1c6ef1885e022ad9bfbe.svg b/_images/inheritance-5c0a3c9476f1c40c754f1c6ef1885e022ad9bfbe.svg new file mode 100644 index 000000000..1c2d16308 --- /dev/null +++ b/_images/inheritance-5c0a3c9476f1c40c754f1c6ef1885e022ad9bfbe.svg @@ -0,0 +1,140 @@ + + +inheritance76ac8fae4b + + +BlockStatementMixin + + +BlockStatementMixin + + + + + +ConcurrentBlockStatement + + +ConcurrentBlockStatement + + + + + +BlockStatementMixin->ConcurrentBlockStatement + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->ConcurrentBlockStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->ConcurrentBlockStatement + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +ConcurrentDeclarationRegionMixin->ConcurrentBlockStatement + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->ConcurrentBlockStatement + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->ConcurrentBlockStatement + + + + + +Statement->ConcurrentStatement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-5cdc7b1c25f0f68703e25bfaebf3360ca9584f3d.svg b/_images/inheritance-5cdc7b1c25f0f68703e25bfaebf3360ca9584f3d.svg new file mode 100644 index 000000000..c25f43a9c --- /dev/null +++ b/_images/inheritance-5cdc7b1c25f0f68703e25bfaebf3360ca9584f3d.svg @@ -0,0 +1,59 @@ + + +inheritancea2761231bc + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +CharacterLiteral + + +CharacterLiteral + + + + + +Literal->CharacterLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-5d8e9192476cd1485f03467505a9ef57a2cddd2c.svg b/_images/inheritance-5d8e9192476cd1485f03467505a9ef57a2cddd2c.svg new file mode 100644 index 000000000..086727233 --- /dev/null +++ b/_images/inheritance-5d8e9192476cd1485f03467505a9ef57a2cddd2c.svg @@ -0,0 +1,74 @@ + + +inheritancee30643d748 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +LogicalExpression + + +LogicalExpression + + + + + +BinaryExpression->LogicalExpression + + + + + +XnorExpression + + +XnorExpression + + + + + +LogicalExpression->XnorExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-5de1a8aac8cc7196659a2604098e4ed81a439bcb.svg b/_images/inheritance-5de1a8aac8cc7196659a2604098e4ed81a439bcb.svg new file mode 100644 index 000000000..4c9714ab4 --- /dev/null +++ b/_images/inheritance-5de1a8aac8cc7196659a2604098e4ed81a439bcb.svg @@ -0,0 +1,44 @@ + + +inheritance878d705068 + + +ModelEntity + + +ModelEntity + + + + + +Name + + +Name + + + + + +ModelEntity->Name + + + + + +SelectedName + + +SelectedName + + + + + +Name->SelectedName + + + + + \ No newline at end of file diff --git a/_images/inheritance-5efea9247b24bb51c57b69b6f7ffdf32a4d62c0c.svg b/_images/inheritance-5efea9247b24bb51c57b69b6f7ffdf32a4d62c0c.svg new file mode 100644 index 000000000..68f0e8ada --- /dev/null +++ b/_images/inheritance-5efea9247b24bb51c57b69b6f7ffdf32a4d62c0c.svg @@ -0,0 +1,155 @@ + + +inheritance245c447150 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +PortInterfaceItemMixin + + +PortInterfaceItemMixin + + + + + +InterfaceItemMixin->PortInterfaceItemMixin + + + + + +InterfaceItemWithModeMixin + + +InterfaceItemWithModeMixin + + + + + +InterfaceItemWithModeMixin->PortInterfaceItemMixin + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + +Signal + + +Signal + + + + + +Obj->Signal + + + + + +PortSignalInterfaceItem + + +PortSignalInterfaceItem + + + + + +PortInterfaceItemMixin->PortSignalInterfaceItem + + + + + +Signal->PortSignalInterfaceItem + + + + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + +WithDefaultExpressionMixin->Signal + + + + + \ No newline at end of file diff --git a/_images/inheritance-5f9f63c037450a54adebc1d42e9d4eb8b2c6740a.svg b/_images/inheritance-5f9f63c037450a54adebc1d42e9d4eb8b2c6740a.svg new file mode 100644 index 000000000..e374dd25b --- /dev/null +++ b/_images/inheritance-5f9f63c037450a54adebc1d42e9d4eb8b2c6740a.svg @@ -0,0 +1,155 @@ + + +inheritanceab30f1ce04 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +ParameterInterfaceItemMixin + + +ParameterInterfaceItemMixin + + + + + +InterfaceItemMixin->ParameterInterfaceItemMixin + + + + + +InterfaceItemWithModeMixin + + +InterfaceItemWithModeMixin + + + + + +ParameterSignalInterfaceItem + + +ParameterSignalInterfaceItem + + + + + +InterfaceItemWithModeMixin->ParameterSignalInterfaceItem + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + +Signal + + +Signal + + + + + +Obj->Signal + + + + + +ParameterInterfaceItemMixin->ParameterSignalInterfaceItem + + + + + +Signal->ParameterSignalInterfaceItem + + + + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + +WithDefaultExpressionMixin->Signal + + + + + \ No newline at end of file diff --git a/_images/inheritance-5fb6e0bc2bcc49652d6582b7bfd1df7afc32ca93.svg b/_images/inheritance-5fb6e0bc2bcc49652d6582b7bfd1df7afc32ca93.svg new file mode 100644 index 000000000..56c966938 --- /dev/null +++ b/_images/inheritance-5fb6e0bc2bcc49652d6582b7bfd1df7afc32ca93.svg @@ -0,0 +1,89 @@ + + +inheritancefbe9a0300f + + +Branch + + +Branch + + + + + +ElseBranch + + +ElseBranch + + + + + +Branch->ElseBranch + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Branch + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->Branch + + + + + +BranchMixin + + +BranchMixin + + + + + +ElseBranchMixin + + +ElseBranchMixin + + + + + +BranchMixin->ElseBranchMixin + + + + + +ElseBranchMixin->ElseBranch + + + + + \ No newline at end of file diff --git a/_images/inheritance-61916a9135d6a8588b8e53e92671f09d2bff7dd3.svg b/_images/inheritance-61916a9135d6a8588b8e53e92671f09d2bff7dd3.svg new file mode 100644 index 000000000..36ade1e4d --- /dev/null +++ b/_images/inheritance-61916a9135d6a8588b8e53e92671f09d2bff7dd3.svg @@ -0,0 +1,14 @@ + + +inheritance74f80df099 + + +ModelEntity + + +ModelEntity + + + + + \ No newline at end of file diff --git a/_images/inheritance-621bd6dba983f475a6bfa67cc0174a68edc62153.svg b/_images/inheritance-621bd6dba983f475a6bfa67cc0174a68edc62153.svg new file mode 100644 index 000000000..2518e6cea --- /dev/null +++ b/_images/inheritance-621bd6dba983f475a6bfa67cc0174a68edc62153.svg @@ -0,0 +1,89 @@ + + +inheritance9afca04233 + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +ScalarType + + +ScalarType + + + + + +FullType->ScalarType + + + + + \ No newline at end of file diff --git a/_images/inheritance-62aeccc1e421393bdf695f148363a8c81208b629.svg b/_images/inheritance-62aeccc1e421393bdf695f148363a8c81208b629.svg new file mode 100644 index 000000000..236c2bcc1 --- /dev/null +++ b/_images/inheritance-62aeccc1e421393bdf695f148363a8c81208b629.svg @@ -0,0 +1,59 @@ + + +inheritance52ef1d054c + + +BaseCase + + +BaseCase + + + + + +SequentialCase + + +SequentialCase + + + + + +BaseCase->SequentialCase + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseCase + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->SequentialCase + + + + + \ No newline at end of file diff --git a/_images/inheritance-64427c19b16641ea93729edb93b7625505b33302.svg b/_images/inheritance-64427c19b16641ea93729edb93b7625505b33302.svg new file mode 100644 index 000000000..5f315bccd --- /dev/null +++ b/_images/inheritance-64427c19b16641ea93729edb93b7625505b33302.svg @@ -0,0 +1,164 @@ + + +inheritancef96b4703dd + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Numeric_Bit_Unsigned + + +Numeric_Bit_Unsigned + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Numeric_Bit_Unsigned + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-64b25a6c213466d1654f2231fa87e3c0ef5644e4.svg b/_images/inheritance-64b25a6c213466d1654f2231fa87e3c0ef5644e4.svg new file mode 100644 index 000000000..67f3598e6 --- /dev/null +++ b/_images/inheritance-64b25a6c213466d1654f2231fa87e3c0ef5644e4.svg @@ -0,0 +1,59 @@ + + +inheritance9f4d5fbf4c + + +BaseChoice + + +BaseChoice + + + + + +ConcurrentChoice + + +ConcurrentChoice + + + + + +BaseChoice->ConcurrentChoice + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseChoice + + + + + +IndexedGenerateChoice + + +IndexedGenerateChoice + + + + + +ConcurrentChoice->IndexedGenerateChoice + + + + + \ No newline at end of file diff --git a/_images/inheritance-654f1720170a0cbe800449e67afcc82277f1f12b.svg b/_images/inheritance-654f1720170a0cbe800449e67afcc82277f1f12b.svg new file mode 100644 index 000000000..4b0f1f95f --- /dev/null +++ b/_images/inheritance-654f1720170a0cbe800449e67afcc82277f1f12b.svg @@ -0,0 +1,74 @@ + + +inheritancee7a084f4e2 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +ShiftArithmeticExpression + + +ShiftArithmeticExpression + + + + + +ShiftExpression->ShiftArithmeticExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-65b3b3cc5ba76dc4a7b8b02892954cf7bd7091c3.svg b/_images/inheritance-65b3b3cc5ba76dc4a7b8b02892954cf7bd7091c3.svg new file mode 100644 index 000000000..099b7dc22 --- /dev/null +++ b/_images/inheritance-65b3b3cc5ba76dc4a7b8b02892954cf7bd7091c3.svg @@ -0,0 +1,89 @@ + + +inheritancecf5b896648 + + +ComponentInstantiation + + +ComponentInstantiation + + + + + +Instantiation + + +Instantiation + + + + + +Instantiation->ComponentInstantiation + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->Instantiation + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-66318fd5e026a1ffe9f0cdc7a847fa0c243547d0.svg b/_images/inheritance-66318fd5e026a1ffe9f0cdc7a847fa0c243547d0.svg new file mode 100644 index 000000000..ef15c5d14 --- /dev/null +++ b/_images/inheritance-66318fd5e026a1ffe9f0cdc7a847fa0c243547d0.svg @@ -0,0 +1,29 @@ + + +inheritancebdcfc4fe7d + + +LibraryReferenceSymbol + + +LibraryReferenceSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->LibraryReferenceSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-665249744097c3bac80cb1e507e335c8309a6895.svg b/_images/inheritance-665249744097c3bac80cb1e507e335c8309a6895.svg new file mode 100644 index 000000000..1216967ec --- /dev/null +++ b/_images/inheritance-665249744097c3bac80cb1e507e335c8309a6895.svg @@ -0,0 +1,89 @@ + + +inheritance263175ec90 + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +GenerateStatement + + +GenerateStatement + + + + + +ConcurrentStatement->GenerateStatement + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +IfGenerateStatement + + +IfGenerateStatement + + + + + +GenerateStatement->IfGenerateStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-667ccbf70b1f9e5022c2283de231450e48574023.svg b/_images/inheritance-667ccbf70b1f9e5022c2283de231450e48574023.svg new file mode 100644 index 000000000..d126fe417 --- /dev/null +++ b/_images/inheritance-667ccbf70b1f9e5022c2283de231450e48574023.svg @@ -0,0 +1,89 @@ + + +inheritance019d303944 + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +Instantiation + + +Instantiation + + + + + +ConcurrentStatement->Instantiation + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +EntityInstantiation + + +EntityInstantiation + + + + + +Instantiation->EntityInstantiation + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-671c4e5c63eb823368887818b031577f69ec6e96.svg b/_images/inheritance-671c4e5c63eb823368887818b031577f69ec6e96.svg new file mode 100644 index 000000000..5de231548 --- /dev/null +++ b/_images/inheritance-671c4e5c63eb823368887818b031577f69ec6e96.svg @@ -0,0 +1,44 @@ + + +inheritance0930291d57 + + +BranchMixin + + +BranchMixin + + + + + +ConditionalBranchMixin + + +ConditionalBranchMixin + + + + + +BranchMixin->ConditionalBranchMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->ConditionalBranchMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-675bb7df5ca0ccf1c05b66912cfe74f026794d8f.svg b/_images/inheritance-675bb7df5ca0ccf1c05b66912cfe74f026794d8f.svg new file mode 100644 index 000000000..acd9a6f70 --- /dev/null +++ b/_images/inheritance-675bb7df5ca0ccf1c05b66912cfe74f026794d8f.svg @@ -0,0 +1,59 @@ + + +inheritancec56f6c2124 + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +NullLiteral + + +NullLiteral + + + + + +Literal->NullLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-68817dd09724618f4c99ccc21242d20c0ad322c8.svg b/_images/inheritance-68817dd09724618f4c99ccc21242d20c0ad322c8.svg new file mode 100644 index 000000000..570f759d3 --- /dev/null +++ b/_images/inheritance-68817dd09724618f4c99ccc21242d20c0ad322c8.svg @@ -0,0 +1,125 @@ + + +inheritancee320da2d7f + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +Function + + +Function + + + + + +GenericFunctionInterfaceItem + + +GenericFunctionInterfaceItem + + + + + +Function->GenericFunctionInterfaceItem + + + + + +Subprogram->Function + + + + + +GenericInterfaceItemMixin + + +GenericInterfaceItemMixin + + + + + +GenericInterfaceItemMixin->GenericFunctionInterfaceItem + + + + + +InterfaceItemMixin->GenericInterfaceItemMixin + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + \ No newline at end of file diff --git a/_images/inheritance-6a99c4362290e2a373cf79763e6f54c74329fcb4.svg b/_images/inheritance-6a99c4362290e2a373cf79763e6f54c74329fcb4.svg new file mode 100644 index 000000000..d929f3052 --- /dev/null +++ b/_images/inheritance-6a99c4362290e2a373cf79763e6f54c74329fcb4.svg @@ -0,0 +1,29 @@ + + +inheritance20d40c01b5 + + +AssignmentMixin + + +AssignmentMixin + + + + + +SignalAssignmentMixin + + +SignalAssignmentMixin + + + + + +AssignmentMixin->SignalAssignmentMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-6cb8f5d3949e3d58af935f0256de862547f78b6c.svg b/_images/inheritance-6cb8f5d3949e3d58af935f0256de862547f78b6c.svg new file mode 100644 index 000000000..fc7a527dc --- /dev/null +++ b/_images/inheritance-6cb8f5d3949e3d58af935f0256de862547f78b6c.svg @@ -0,0 +1,14 @@ + + +inheritanced5e6cf9937 + + +Symbol + + +Symbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-6cb9473402f68317e6b80146f8133b99cbcff551.svg b/_images/inheritance-6cb9473402f68317e6b80146f8133b99cbcff551.svg new file mode 100644 index 000000000..8c634b0d8 --- /dev/null +++ b/_images/inheritance-6cb9473402f68317e6b80146f8133b99cbcff551.svg @@ -0,0 +1,104 @@ + + +inheritanceae1df96650 + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +PSLPrimaryUnit + + +PSLPrimaryUnit + + + + + +VerificationProperty + + +VerificationProperty + + + + + +PSLPrimaryUnit->VerificationProperty + + + + + +PrimaryUnit->PSLPrimaryUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-6ded84dbca5b5e2c51e8472a46a7b38fd520a74c.svg b/_images/inheritance-6ded84dbca5b5e2c51e8472a46a7b38fd520a74c.svg new file mode 100644 index 000000000..a423d487c --- /dev/null +++ b/_images/inheritance-6ded84dbca5b5e2c51e8472a46a7b38fd520a74c.svg @@ -0,0 +1,89 @@ + + +inheritancec4ecd5a5d5 + + +ConditionalMixin + + +ConditionalMixin + + + + + +ReturnStatement + + +ReturnStatement + + + + + +ConditionalMixin->ReturnStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->ReturnStatement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-6e2d0595e7444d6c54e9567f57bb182f94ee48c2.svg b/_images/inheritance-6e2d0595e7444d6c54e9567f57bb182f94ee48c2.svg new file mode 100644 index 000000000..c9e4e1fec --- /dev/null +++ b/_images/inheritance-6e2d0595e7444d6c54e9567f57bb182f94ee48c2.svg @@ -0,0 +1,44 @@ + + +inheritancecf23ae2215 + + +BaseExpression + + +BaseExpression + + + + + +TernaryExpression + + +TernaryExpression + + + + + +BaseExpression->TernaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-6f1463b9567b513edc9f560ed7b4e3d88ef32063.svg b/_images/inheritance-6f1463b9567b513edc9f560ed7b4e3d88ef32063.svg new file mode 100644 index 000000000..56824ca82 --- /dev/null +++ b/_images/inheritance-6f1463b9567b513edc9f560ed7b4e3d88ef32063.svg @@ -0,0 +1,149 @@ + + +inheritance449c5c6da2 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-6f1519448d119fe433abebdbf8f0e8260269ae20.svg b/_images/inheritance-6f1519448d119fe433abebdbf8f0e8260269ae20.svg new file mode 100644 index 000000000..806d631ac --- /dev/null +++ b/_images/inheritance-6f1519448d119fe433abebdbf8f0e8260269ae20.svg @@ -0,0 +1,29 @@ + + +inheritancedf8e8d736a + + +PackageBodyExistsError + + +PackageBodyExistsError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->PackageBodyExistsError + + + + + \ No newline at end of file diff --git a/_images/inheritance-7004d6092720daa4d98c620ff0531d7c38592d09.svg b/_images/inheritance-7004d6092720daa4d98c620ff0531d7c38592d09.svg new file mode 100644 index 000000000..46070c1b8 --- /dev/null +++ b/_images/inheritance-7004d6092720daa4d98c620ff0531d7c38592d09.svg @@ -0,0 +1,59 @@ + + +inheritance2ae47fa7e4 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-7155923a6006b2b7b362b48930137d89756f1071.svg b/_images/inheritance-7155923a6006b2b7b362b48930137d89756f1071.svg new file mode 100644 index 000000000..278157a8e --- /dev/null +++ b/_images/inheritance-7155923a6006b2b7b362b48930137d89756f1071.svg @@ -0,0 +1,164 @@ + + +inheritance6ac656da3e + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Float_Generic_Pkg + + +Float_Generic_Pkg + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Float_Generic_Pkg + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-71ee76e8d2b3febe24daf7ce1ae71cf5b62153bf.svg b/_images/inheritance-71ee76e8d2b3febe24daf7ce1ae71cf5b62153bf.svg new file mode 100644 index 000000000..dd726bbd7 --- /dev/null +++ b/_images/inheritance-71ee76e8d2b3febe24daf7ce1ae71cf5b62153bf.svg @@ -0,0 +1,14 @@ + + +inheritanceafbfadaac5 + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-723c51012473b4b20c217444db731714e8b5ce1a.svg b/_images/inheritance-723c51012473b4b20c217444db731714e8b5ce1a.svg new file mode 100644 index 000000000..ec91c615a --- /dev/null +++ b/_images/inheritance-723c51012473b4b20c217444db731714e8b5ce1a.svg @@ -0,0 +1,44 @@ + + +inheritance87a2f76ab0 + + +AggregateElement + + +AggregateElement + + + + + +IndexedAggregateElement + + +IndexedAggregateElement + + + + + +AggregateElement->IndexedAggregateElement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AggregateElement + + + + + \ No newline at end of file diff --git a/_images/inheritance-723cf1a0a33f128bb689dbc05bb4432814877578.svg b/_images/inheritance-723cf1a0a33f128bb689dbc05bb4432814877578.svg new file mode 100644 index 000000000..65b8166b4 --- /dev/null +++ b/_images/inheritance-723cf1a0a33f128bb689dbc05bb4432814877578.svg @@ -0,0 +1,74 @@ + + +inheritance9b34bbe85b + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +GenerateStatement + + +GenerateStatement + + + + + +ConcurrentStatement->GenerateStatement + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-730423d756999b70b8da2afcdf39385d16acccd9.svg b/_images/inheritance-730423d756999b70b8da2afcdf39385d16acccd9.svg new file mode 100644 index 000000000..02d89fc8c --- /dev/null +++ b/_images/inheritance-730423d756999b70b8da2afcdf39385d16acccd9.svg @@ -0,0 +1,104 @@ + + +inheritancefe0cc6d17f + + +AssignmentMixin + + +AssignmentMixin + + + + + +SignalAssignmentMixin + + +SignalAssignmentMixin + + + + + +AssignmentMixin->SignalAssignmentMixin + + + + + +ConcurrentSignalAssignment + + +ConcurrentSignalAssignment + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->ConcurrentSignalAssignment + + + + + +SignalAssignmentMixin->ConcurrentSignalAssignment + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-7366d3bee3aee4c2830228f5f45cf0c92d9bc23b.svg b/_images/inheritance-7366d3bee3aee4c2830228f5f45cf0c92d9bc23b.svg new file mode 100644 index 000000000..2149ff6a9 --- /dev/null +++ b/_images/inheritance-7366d3bee3aee4c2830228f5f45cf0c92d9bc23b.svg @@ -0,0 +1,59 @@ + + +inheritancecb26035bf3 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + \ No newline at end of file diff --git a/_images/inheritance-73d397b8b0f572e8e4af254a22c338aa956485bf.svg b/_images/inheritance-73d397b8b0f572e8e4af254a22c338aa956485bf.svg new file mode 100644 index 000000000..373169ad1 --- /dev/null +++ b/_images/inheritance-73d397b8b0f572e8e4af254a22c338aa956485bf.svg @@ -0,0 +1,134 @@ + + +inheritance8fa4d3ddc2 + + +CompoundStatement + + +CompoundStatement + + + + + +LoopStatement + + +LoopStatement + + + + + +CompoundStatement->LoopStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->CompoundStatement + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +WhileLoopStatement + + +WhileLoopStatement + + + + + +ConditionalMixin->WhileLoopStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +LoopStatement->WhileLoopStatement + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->LoopStatement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-75f057114f0cb84386e8a43f17548818e551de1c.svg b/_images/inheritance-75f057114f0cb84386e8a43f17548818e551de1c.svg new file mode 100644 index 000000000..89fefcf95 --- /dev/null +++ b/_images/inheritance-75f057114f0cb84386e8a43f17548818e551de1c.svg @@ -0,0 +1,164 @@ + + +inheritancec3d8af5da7 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Fixed_Pkg + + +Fixed_Pkg + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Fixed_Pkg + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-76a76b007919c7860bd4deb15bece6fa837343e9.svg b/_images/inheritance-76a76b007919c7860bd4deb15bece6fa837343e9.svg new file mode 100644 index 000000000..301d21e0d --- /dev/null +++ b/_images/inheritance-76a76b007919c7860bd4deb15bece6fa837343e9.svg @@ -0,0 +1,164 @@ + + +inheritance81d7d7a8e9 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Env_Body + + +Env_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Env_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-76c495e823198edbaa07c6c383d167b8f635c5f7.svg b/_images/inheritance-76c495e823198edbaa07c6c383d167b8f635c5f7.svg new file mode 100644 index 000000000..a4157b1d3 --- /dev/null +++ b/_images/inheritance-76c495e823198edbaa07c6c383d167b8f635c5f7.svg @@ -0,0 +1,14 @@ + + +inheritance8433ed06b5 + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-76dc2a9bca77b7145dc299700872a1c5e1c37c74.svg b/_images/inheritance-76dc2a9bca77b7145dc299700872a1c5e1c37c74.svg new file mode 100644 index 000000000..ed0c285e6 --- /dev/null +++ b/_images/inheritance-76dc2a9bca77b7145dc299700872a1c5e1c37c74.svg @@ -0,0 +1,164 @@ + + +inheritance0217784c6c + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Float_Pkg + + +Float_Pkg + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Float_Pkg + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-78954745c6b5c23a203a20971a06493551367ee4.svg b/_images/inheritance-78954745c6b5c23a203a20971a06493551367ee4.svg new file mode 100644 index 000000000..6c0ca31f8 --- /dev/null +++ b/_images/inheritance-78954745c6b5c23a203a20971a06493551367ee4.svg @@ -0,0 +1,44 @@ + + +inheritancead26f30147 + + +Allocation + + +Allocation + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->Allocation + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-79c0afcf0ea0eefdd85df8b7ac2e57d3ecf6ce43.svg b/_images/inheritance-79c0afcf0ea0eefdd85df8b7ac2e57d3ecf6ce43.svg new file mode 100644 index 000000000..20b0bd5cb --- /dev/null +++ b/_images/inheritance-79c0afcf0ea0eefdd85df8b7ac2e57d3ecf6ce43.svg @@ -0,0 +1,104 @@ + + +inheritanceaa5664dce5 + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +PSLPrimaryUnit + + +PSLPrimaryUnit + + + + + +VerificationMode + + +VerificationMode + + + + + +PSLPrimaryUnit->VerificationMode + + + + + +PrimaryUnit->PSLPrimaryUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-79f7dab3b42812df1cd93ec99ec4679b17e88cf1.svg b/_images/inheritance-79f7dab3b42812df1cd93ec99ec4679b17e88cf1.svg new file mode 100644 index 000000000..ee3ccf278 --- /dev/null +++ b/_images/inheritance-79f7dab3b42812df1cd93ec99ec4679b17e88cf1.svg @@ -0,0 +1,59 @@ + + +inheritance6e26919b20 + + +AddingExpression + + +AddingExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BinaryExpression->AddingExpression + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-7b13536ba6cbd74836fef9594daa053963c3293b.svg b/_images/inheritance-7b13536ba6cbd74836fef9594daa053963c3293b.svg new file mode 100644 index 000000000..95734673f --- /dev/null +++ b/_images/inheritance-7b13536ba6cbd74836fef9594daa053963c3293b.svg @@ -0,0 +1,89 @@ + + +inheritancece5df97909 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +RotateExpression + + +RotateExpression + + + + + +RotateRightExpression + + +RotateRightExpression + + + + + +RotateExpression->RotateRightExpression + + + + + +ShiftExpression->RotateExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-7b77e944c036e0a0c91c9d9459695f0839a49991.svg b/_images/inheritance-7b77e944c036e0a0c91c9d9459695f0839a49991.svg new file mode 100644 index 000000000..9c16e933b --- /dev/null +++ b/_images/inheritance-7b77e944c036e0a0c91c9d9459695f0839a49991.svg @@ -0,0 +1,74 @@ + + +inheritanceec6af6aee6 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +LogicalExpression + + +LogicalExpression + + + + + +BinaryExpression->LogicalExpression + + + + + +OrExpression + + +OrExpression + + + + + +LogicalExpression->OrExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-7cbe9b64071d48d457610b130e004ab239f6ccf4.svg b/_images/inheritance-7cbe9b64071d48d457610b130e004ab239f6ccf4.svg new file mode 100644 index 000000000..7ab3ad2eb --- /dev/null +++ b/_images/inheritance-7cbe9b64071d48d457610b130e004ab239f6ccf4.svg @@ -0,0 +1,74 @@ + + +inheritance83c732a95c + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +EqualExpression + + +EqualExpression + + + + + +RelationalExpression->EqualExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-7d94c85a1a8220df6200afffafaef8c5deef8865.svg b/_images/inheritance-7d94c85a1a8220df6200afffafaef8c5deef8865.svg new file mode 100644 index 000000000..b7f49f374 --- /dev/null +++ b/_images/inheritance-7d94c85a1a8220df6200afffafaef8c5deef8865.svg @@ -0,0 +1,59 @@ + + +inheritance1c02f2e68a + + +BaseChoice + + +BaseChoice + + + + + +SequentialChoice + + +SequentialChoice + + + + + +BaseChoice->SequentialChoice + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseChoice + + + + + +RangedChoice + + +RangedChoice + + + + + +SequentialChoice->RangedChoice + + + + + \ No newline at end of file diff --git a/_images/inheritance-7e31e37a23247af70c92b6460b41fa553d10e8e3.svg b/_images/inheritance-7e31e37a23247af70c92b6460b41fa553d10e8e3.svg new file mode 100644 index 000000000..7402a7727 --- /dev/null +++ b/_images/inheritance-7e31e37a23247af70c92b6460b41fa553d10e8e3.svg @@ -0,0 +1,164 @@ + + +inheritance7c00590ce2 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Math_Real + + +Math_Real + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Math_Real + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-7e5d35ec93e195247536332f44a68e341ace08b0.svg b/_images/inheritance-7e5d35ec93e195247536332f44a68e341ace08b0.svg new file mode 100644 index 000000000..a3dde8e77 --- /dev/null +++ b/_images/inheritance-7e5d35ec93e195247536332f44a68e341ace08b0.svg @@ -0,0 +1,119 @@ + + +inheritanceb66c0c19c1 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +SecondaryUnit->PackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-7ea9e88df4804e1ab92aad5c7b886bdfb2149728.svg b/_images/inheritance-7ea9e88df4804e1ab92aad5c7b886bdfb2149728.svg new file mode 100644 index 000000000..1ecf6c198 --- /dev/null +++ b/_images/inheritance-7ea9e88df4804e1ab92aad5c7b886bdfb2149728.svg @@ -0,0 +1,44 @@ + + +inheritance7675ca5f5d + + +AggregateElement + + +AggregateElement + + + + + +NamedAggregateElement + + +NamedAggregateElement + + + + + +AggregateElement->NamedAggregateElement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AggregateElement + + + + + \ No newline at end of file diff --git a/_images/inheritance-7f2372efdedcfa0199a5a87303b19185bf7c6f84.svg b/_images/inheritance-7f2372efdedcfa0199a5a87303b19185bf7c6f84.svg new file mode 100644 index 000000000..837e4a78c --- /dev/null +++ b/_images/inheritance-7f2372efdedcfa0199a5a87303b19185bf7c6f84.svg @@ -0,0 +1,59 @@ + + +inheritance2919bf919a + + +AllName + + +AllName + + + + + +SelectedName + + +SelectedName + + + + + +SelectedName->AllName + + + + + +ModelEntity + + +ModelEntity + + + + + +Name + + +Name + + + + + +ModelEntity->Name + + + + + +Name->SelectedName + + + + + \ No newline at end of file diff --git a/_images/inheritance-7f8ff6711fde7f8dd34f7799b658b6258a429026.svg b/_images/inheritance-7f8ff6711fde7f8dd34f7799b658b6258a429026.svg new file mode 100644 index 000000000..5ea1ac311 --- /dev/null +++ b/_images/inheritance-7f8ff6711fde7f8dd34f7799b658b6258a429026.svg @@ -0,0 +1,59 @@ + + +inheritance1c17d3d2bf + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +LogicalExpression + + +LogicalExpression + + + + + +BinaryExpression->LogicalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-80ca2021921586aeff2808d6961b8616fe5fa91a.svg b/_images/inheritance-80ca2021921586aeff2808d6961b8616fe5fa91a.svg new file mode 100644 index 000000000..382005d15 --- /dev/null +++ b/_images/inheritance-80ca2021921586aeff2808d6961b8616fe5fa91a.svg @@ -0,0 +1,44 @@ + + +inheritance4659a32182 + + +Enum + + +Enum + + + + + +Flag + + +Flag + + + + + +Enum->Flag + + + + + +PossibleReference + + +PossibleReference + + + + + +Flag->PossibleReference + + + + + \ No newline at end of file diff --git a/_images/inheritance-81336207e06b2f13cab13b5a91ceaebc811bb9c1.svg b/_images/inheritance-81336207e06b2f13cab13b5a91ceaebc811bb9c1.svg new file mode 100644 index 000000000..0e5ae8a7b --- /dev/null +++ b/_images/inheritance-81336207e06b2f13cab13b5a91ceaebc811bb9c1.svg @@ -0,0 +1,134 @@ + + +inheritanceb4a5d6e370 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Entity + + +Entity + + + + + +ConcurrentDeclarationRegionMixin->Entity + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->Entity + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Entity + + + + + +PrimaryUnit->Entity + + + + + \ No newline at end of file diff --git a/_images/inheritance-83d45bef60679bc43f60c5f9d8ed4e431c64649a.svg b/_images/inheritance-83d45bef60679bc43f60c5f9d8ed4e431c64649a.svg new file mode 100644 index 000000000..f3a41a8bc --- /dev/null +++ b/_images/inheritance-83d45bef60679bc43f60c5f9d8ed4e431c64649a.svg @@ -0,0 +1,59 @@ + + +inheritancea7338e4bc4 + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +BitStringLiteral + + +BitStringLiteral + + + + + +Literal->BitStringLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-83eed60fd7809e7a4639c8dbdbabc72b62a9cf66.svg b/_images/inheritance-83eed60fd7809e7a4639c8dbdbabc72b62a9cf66.svg new file mode 100644 index 000000000..8d62e04a7 --- /dev/null +++ b/_images/inheritance-83eed60fd7809e7a4639c8dbdbabc72b62a9cf66.svg @@ -0,0 +1,29 @@ + + +inheritancef0d2d1ae36 + + +ModelEntity + + +ModelEntity + + + + + +Reference + + +Reference + + + + + +ModelEntity->Reference + + + + + \ No newline at end of file diff --git a/_images/inheritance-84e946d2f857a761a323e76c6d832d3103c5905f.svg b/_images/inheritance-84e946d2f857a761a323e76c6d832d3103c5905f.svg new file mode 100644 index 000000000..1525a3aa8 --- /dev/null +++ b/_images/inheritance-84e946d2f857a761a323e76c6d832d3103c5905f.svg @@ -0,0 +1,44 @@ + + +inheritanceaa8d2f1715 + + +AssociationItem + + +AssociationItem + + + + + +ParameterAssociationItem + + +ParameterAssociationItem + + + + + +AssociationItem->ParameterAssociationItem + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AssociationItem + + + + + \ No newline at end of file diff --git a/_images/inheritance-85261f51301900915ecc69d6356e3de475c25bcb.svg b/_images/inheritance-85261f51301900915ecc69d6356e3de475c25bcb.svg new file mode 100644 index 000000000..8515b0e73 --- /dev/null +++ b/_images/inheritance-85261f51301900915ecc69d6356e3de475c25bcb.svg @@ -0,0 +1,104 @@ + + +inheritance83b5855a00 + + +BaseCase + + +BaseCase + + + + + +ConcurrentCase + + +ConcurrentCase + + + + + +BaseCase->ConcurrentCase + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseCase + + + + + +GenerateCase + + +GenerateCase + + + + + +ConcurrentCase->GenerateCase + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->ConcurrentCase + + + + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +ConcurrentDeclarationRegionMixin->ConcurrentCase + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->ConcurrentCase + + + + + \ No newline at end of file diff --git a/_images/inheritance-856226594ad549edf7a7556db526bd6cbc9282a6.svg b/_images/inheritance-856226594ad549edf7a7556db526bd6cbc9282a6.svg new file mode 100644 index 000000000..a811a3251 --- /dev/null +++ b/_images/inheritance-856226594ad549edf7a7556db526bd6cbc9282a6.svg @@ -0,0 +1,44 @@ + + +inheritanced74c242e22 + + +Enum + + +Enum + + + + + +Flag + + +Flag + + + + + +Enum->Flag + + + + + +ObjectGraphVertexKind + + +ObjectGraphVertexKind + + + + + +Flag->ObjectGraphVertexKind + + + + + \ No newline at end of file diff --git a/_images/inheritance-8583973ce9490e519aed7af918bff4b9263f2c5c.svg b/_images/inheritance-8583973ce9490e519aed7af918bff4b9263f2c5c.svg new file mode 100644 index 000000000..b645017e1 --- /dev/null +++ b/_images/inheritance-8583973ce9490e519aed7af918bff4b9263f2c5c.svg @@ -0,0 +1,89 @@ + + +inheritance1d19a82bec + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + +Variable + + +Variable + + + + + +Obj->Variable + + + + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + +WithDefaultExpressionMixin->Variable + + + + + \ No newline at end of file diff --git a/_images/inheritance-85a282d78326a34fd8b10ac3edc18cf31a708c78.svg b/_images/inheritance-85a282d78326a34fd8b10ac3edc18cf31a708c78.svg new file mode 100644 index 000000000..b82d92d66 --- /dev/null +++ b/_images/inheritance-85a282d78326a34fd8b10ac3edc18cf31a708c78.svg @@ -0,0 +1,29 @@ + + +inheritancec8446292b1 + + +LibraryExistsInDesignError + + +LibraryExistsInDesignError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->LibraryExistsInDesignError + + + + + \ No newline at end of file diff --git a/_images/inheritance-8725910638cb3018e66e84a97022cf8887d09bc4.svg b/_images/inheritance-8725910638cb3018e66e84a97022cf8887d09bc4.svg new file mode 100644 index 000000000..c14c6f396 --- /dev/null +++ b/_images/inheritance-8725910638cb3018e66e84a97022cf8887d09bc4.svg @@ -0,0 +1,89 @@ + + +inheritancef8ac694c51 + + +CaseStatement + + +CaseStatement + + + + + +CompoundStatement + + +CompoundStatement + + + + + +CompoundStatement->CaseStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->CompoundStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-889e5bba4a056f465a5a4b1885551ec9be268a76.svg b/_images/inheritance-889e5bba4a056f465a5a4b1885551ec9be268a76.svg new file mode 100644 index 000000000..68c06ba4b --- /dev/null +++ b/_images/inheritance-889e5bba4a056f465a5a4b1885551ec9be268a76.svg @@ -0,0 +1,14 @@ + + +inheritance9dc6cb97ea + + +BranchMixin + + +BranchMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-8a4c60e830cfa3b1cff6cd9fe0261ac6b490af5c.svg b/_images/inheritance-8a4c60e830cfa3b1cff6cd9fe0261ac6b490af5c.svg new file mode 100644 index 000000000..223bec401 --- /dev/null +++ b/_images/inheritance-8a4c60e830cfa3b1cff6cd9fe0261ac6b490af5c.svg @@ -0,0 +1,44 @@ + + +inheritancecb7222d1ad + + +AggregateElement + + +AggregateElement + + + + + +SimpleAggregateElement + + +SimpleAggregateElement + + + + + +AggregateElement->SimpleAggregateElement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AggregateElement + + + + + \ No newline at end of file diff --git a/_images/inheritance-8c9404518878da3344005013a174e7ed112110c4.svg b/_images/inheritance-8c9404518878da3344005013a174e7ed112110c4.svg new file mode 100644 index 000000000..a7c9dde96 --- /dev/null +++ b/_images/inheritance-8c9404518878da3344005013a174e7ed112110c4.svg @@ -0,0 +1,119 @@ + + +inheritanceca535f21be + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +PrimaryUnit->Package + + + + + \ No newline at end of file diff --git a/_images/inheritance-8d1cf55b2336aba46c0fdd4b86a02fed21deaf60.svg b/_images/inheritance-8d1cf55b2336aba46c0fdd4b86a02fed21deaf60.svg new file mode 100644 index 000000000..7a2d3989a --- /dev/null +++ b/_images/inheritance-8d1cf55b2336aba46c0fdd4b86a02fed21deaf60.svg @@ -0,0 +1,74 @@ + + +inheritance9248d4a43c + + +BaseConstant + + +BaseConstant + + + + + +Obj + + +Obj + + + + + +Obj->BaseConstant + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Obj + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + \ No newline at end of file diff --git a/_images/inheritance-8e6e7d85afe8f0b5fa2cfc8cc19c5145b5dff2db.svg b/_images/inheritance-8e6e7d85afe8f0b5fa2cfc8cc19c5145b5dff2db.svg new file mode 100644 index 000000000..5bfc08938 --- /dev/null +++ b/_images/inheritance-8e6e7d85afe8f0b5fa2cfc8cc19c5145b5dff2db.svg @@ -0,0 +1,89 @@ + + +inheritancebff1087445 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +MatchingLessEqualExpression + + +MatchingLessEqualExpression + + + + + +MatchingRelationalExpression + + +MatchingRelationalExpression + + + + + +MatchingRelationalExpression->MatchingLessEqualExpression + + + + + +RelationalExpression->MatchingRelationalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-8ec750af75919cf9109c52e979b519300baf6758.svg b/_images/inheritance-8ec750af75919cf9109c52e979b519300baf6758.svg new file mode 100644 index 000000000..b43d82ef5 --- /dev/null +++ b/_images/inheritance-8ec750af75919cf9109c52e979b519300baf6758.svg @@ -0,0 +1,74 @@ + + +inheritance0814844dde + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +MatchingRelationalExpression + + +MatchingRelationalExpression + + + + + +RelationalExpression->MatchingRelationalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-8fee363a20d21871af0b4bb80f396a363f3da73d.svg b/_images/inheritance-8fee363a20d21871af0b4bb80f396a363f3da73d.svg new file mode 100644 index 000000000..6efe16f88 --- /dev/null +++ b/_images/inheritance-8fee363a20d21871af0b4bb80f396a363f3da73d.svg @@ -0,0 +1,59 @@ + + +inheritance92d0d33c64 + + +DefaultClock + + +DefaultClock + + + + + +PSLEntity + + +PSLEntity + + + + + +PSLEntity->DefaultClock + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DefaultClock + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->PSLEntity + + + + + \ No newline at end of file diff --git a/_images/inheritance-9002c000ee14eda8cd62ba9e2e21dd021bef68dd.svg b/_images/inheritance-9002c000ee14eda8cd62ba9e2e21dd021bef68dd.svg new file mode 100644 index 000000000..55631c171 --- /dev/null +++ b/_images/inheritance-9002c000ee14eda8cd62ba9e2e21dd021bef68dd.svg @@ -0,0 +1,59 @@ + + +inheritanceda5cbdf802 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +UnaryOrExpression + + +UnaryOrExpression + + + + + +UnaryExpression->UnaryOrExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-91eb6cd38b10a1c5267aac0af182983e502d7f79.svg b/_images/inheritance-91eb6cd38b10a1c5267aac0af182983e502d7f79.svg new file mode 100644 index 000000000..101db5d66 --- /dev/null +++ b/_images/inheritance-91eb6cd38b10a1c5267aac0af182983e502d7f79.svg @@ -0,0 +1,74 @@ + + +inheritanced1f6b3e6bb + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +LogicalExpression + + +LogicalExpression + + + + + +BinaryExpression->LogicalExpression + + + + + +NorExpression + + +NorExpression + + + + + +LogicalExpression->NorExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-93c0fee9160fc45c389966671e184bc24fcf4a3f.svg b/_images/inheritance-93c0fee9160fc45c389966671e184bc24fcf4a3f.svg new file mode 100644 index 000000000..32b420fd0 --- /dev/null +++ b/_images/inheritance-93c0fee9160fc45c389966671e184bc24fcf4a3f.svg @@ -0,0 +1,164 @@ + + +inheritancea2594bcadb + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +Std_logic_misc + + +Std_logic_misc + + + + + +PredefinedPackage->Std_logic_misc + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-94bba9209ce66cde33aa25fa4d8f28e3852ab617.svg b/_images/inheritance-94bba9209ce66cde33aa25fa4d8f28e3852ab617.svg new file mode 100644 index 000000000..b89da2944 --- /dev/null +++ b/_images/inheritance-94bba9209ce66cde33aa25fa4d8f28e3852ab617.svg @@ -0,0 +1,164 @@ + + +inheritance06c8482587 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +Std_logic_1164 + + +Std_logic_1164 + + + + + +PredefinedPackage->Std_logic_1164 + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-95e17e4416b662574ff4d2c2e468d648332be247.svg b/_images/inheritance-95e17e4416b662574ff4d2c2e468d648332be247.svg new file mode 100644 index 000000000..f854d38e9 --- /dev/null +++ b/_images/inheritance-95e17e4416b662574ff4d2c2e468d648332be247.svg @@ -0,0 +1,59 @@ + + +inheritancebcc2b39d8a + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +GenericInterfaceItemMixin + + +GenericInterfaceItemMixin + + + + + +GenericSubprogramInterfaceItem + + +GenericSubprogramInterfaceItem + + + + + +GenericInterfaceItemMixin->GenericSubprogramInterfaceItem + + + + + +InterfaceItemMixin->GenericInterfaceItemMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-96439a8358c499df02f1c0344d15b34c9408ace3.svg b/_images/inheritance-96439a8358c499df02f1c0344d15b34c9408ace3.svg new file mode 100644 index 000000000..8036fab28 --- /dev/null +++ b/_images/inheritance-96439a8358c499df02f1c0344d15b34c9408ace3.svg @@ -0,0 +1,59 @@ + + +inheritancef0175336ba + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +UnaryXnorExpression + + +UnaryXnorExpression + + + + + +UnaryExpression->UnaryXnorExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-970b3c1478f6a04aca143079f14737af854fe616.svg b/_images/inheritance-970b3c1478f6a04aca143079f14737af854fe616.svg new file mode 100644 index 000000000..4194b104c --- /dev/null +++ b/_images/inheritance-970b3c1478f6a04aca143079f14737af854fe616.svg @@ -0,0 +1,44 @@ + + +inheritanceae7fc14d84 + + +ModelEntity + + +ModelEntity + + + + + +RecordTypeElement + + +RecordTypeElement + + + + + +ModelEntity->RecordTypeElement + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->RecordTypeElement + + + + + \ No newline at end of file diff --git a/_images/inheritance-981844a166f112a18454a6ebca013576d0cb9754.svg b/_images/inheritance-981844a166f112a18454a6ebca013576d0cb9754.svg new file mode 100644 index 000000000..37d7a5ef8 --- /dev/null +++ b/_images/inheritance-981844a166f112a18454a6ebca013576d0cb9754.svg @@ -0,0 +1,44 @@ + + +inheritance57c17b13fa + + +Branch + + +Branch + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Branch + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->Branch + + + + + \ No newline at end of file diff --git a/_images/inheritance-990592e9c3e78a7c1a242ce18ccba02745184965.svg b/_images/inheritance-990592e9c3e78a7c1a242ce18ccba02745184965.svg new file mode 100644 index 000000000..0715837c3 --- /dev/null +++ b/_images/inheritance-990592e9c3e78a7c1a242ce18ccba02745184965.svg @@ -0,0 +1,89 @@ + + +inheritanceb1ec4c291f + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +ShiftLogicExpression + + +ShiftLogicExpression + + + + + +ShiftExpression->ShiftLogicExpression + + + + + +ShiftLeftLogicExpression + + +ShiftLeftLogicExpression + + + + + +ShiftLogicExpression->ShiftLeftLogicExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-9a116da99db4adcb97ac8375478e73770aadb057.svg b/_images/inheritance-9a116da99db4adcb97ac8375478e73770aadb057.svg new file mode 100644 index 000000000..1b28c2b9d --- /dev/null +++ b/_images/inheritance-9a116da99db4adcb97ac8375478e73770aadb057.svg @@ -0,0 +1,170 @@ + + +inheritance98c00a7eba + + +BaseConstant + + +BaseConstant + + + + + +Constant + + +Constant + + + + + +BaseConstant->Constant + + + + + +Obj + + +Obj + + + + + +Obj->BaseConstant + + + + + +GenericConstantInterfaceItem + + +GenericConstantInterfaceItem + + + + + +Constant->GenericConstantInterfaceItem + + + + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + +WithDefaultExpressionMixin->Constant + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Obj + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +GenericInterfaceItemMixin + + +GenericInterfaceItemMixin + + + + + +GenericInterfaceItemMixin->GenericConstantInterfaceItem + + + + + +InterfaceItemWithModeMixin + + +InterfaceItemWithModeMixin + + + + + +InterfaceItemWithModeMixin->GenericConstantInterfaceItem + + + + + +InterfaceItemMixin->GenericInterfaceItemMixin + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + \ No newline at end of file diff --git a/_images/inheritance-9ae3a152d47e27699eda82a4ec7dfc37602800fd.svg b/_images/inheritance-9ae3a152d47e27699eda82a4ec7dfc37602800fd.svg new file mode 100644 index 000000000..5e7caf8b9 --- /dev/null +++ b/_images/inheritance-9ae3a152d47e27699eda82a4ec7dfc37602800fd.svg @@ -0,0 +1,149 @@ + + +inheritanceb9bd81935c + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +DiscreteTypeMixin + + +DiscreteTypeMixin + + + + + +IntegerType + + +IntegerType + + + + + +DiscreteTypeMixin->IntegerType + + + + + +ScalarType + + +ScalarType + + + + + +FullType->ScalarType + + + + + +RangedScalarType + + +RangedScalarType + + + + + +RangedScalarType->IntegerType + + + + + +NumericTypeMixin + + +NumericTypeMixin + + + + + +NumericTypeMixin->IntegerType + + + + + +ScalarType->RangedScalarType + + + + + \ No newline at end of file diff --git a/_images/inheritance-9b05bf36c201e3effd15c8e5eaa44ba15c293fc6.svg b/_images/inheritance-9b05bf36c201e3effd15c8e5eaa44ba15c293fc6.svg new file mode 100644 index 000000000..05b5e41b8 --- /dev/null +++ b/_images/inheritance-9b05bf36c201e3effd15c8e5eaa44ba15c293fc6.svg @@ -0,0 +1,29 @@ + + +inheritance0d7d04db9b + + +EntityInstantiationSymbol + + +EntityInstantiationSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->EntityInstantiationSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-9b9243598b652a76643b7e1e67ad1413df833a0c.svg b/_images/inheritance-9b9243598b652a76643b7e1e67ad1413df833a0c.svg new file mode 100644 index 000000000..dc12ff3b4 --- /dev/null +++ b/_images/inheritance-9b9243598b652a76643b7e1e67ad1413df833a0c.svg @@ -0,0 +1,59 @@ + + +inheritanceab0805c801 + + +DesignUnit + + +DesignUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-9be1af63888b51c91828ea955fae477feca129f4.svg b/_images/inheritance-9be1af63888b51c91828ea955fae477feca129f4.svg new file mode 100644 index 000000000..ea2fda9b5 --- /dev/null +++ b/_images/inheritance-9be1af63888b51c91828ea955fae477feca129f4.svg @@ -0,0 +1,29 @@ + + +inheritance51789518b2 + + +Enum + + +Enum + + + + + +ObjectClass + + +ObjectClass + + + + + +Enum->ObjectClass + + + + + \ No newline at end of file diff --git a/_images/inheritance-9ce6739a61f06b221a2165788d7c79b0b9b70472.svg b/_images/inheritance-9ce6739a61f06b221a2165788d7c79b0b9b70472.svg new file mode 100644 index 000000000..4338930e0 --- /dev/null +++ b/_images/inheritance-9ce6739a61f06b221a2165788d7c79b0b9b70472.svg @@ -0,0 +1,14 @@ + + +inheritance3f7746e5d4 + + +ParenthesisExpression + + +ParenthesisExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-a0532f0845111951cb3e63159ce99dce1e5d718e.svg b/_images/inheritance-a0532f0845111951cb3e63159ce99dce1e5d718e.svg new file mode 100644 index 000000000..a9fb27ff3 --- /dev/null +++ b/_images/inheritance-a0532f0845111951cb3e63159ce99dce1e5d718e.svg @@ -0,0 +1,74 @@ + + +inheritance211bec14bb + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +Function + + +Function + + + + + +Subprogram->Function + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + \ No newline at end of file diff --git a/_images/inheritance-a17fb35956fa77a17d7481b4e364bafcf5a8dc1a.svg b/_images/inheritance-a17fb35956fa77a17d7481b4e364bafcf5a8dc1a.svg new file mode 100644 index 000000000..6edae3820 --- /dev/null +++ b/_images/inheritance-a17fb35956fa77a17d7481b4e364bafcf5a8dc1a.svg @@ -0,0 +1,29 @@ + + +inheritancee2073c03f5 + + +ContextReferenceSymbol + + +ContextReferenceSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->ContextReferenceSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-a22e1fb12f25754fced72de831b1c52a5eb7dbb7.svg b/_images/inheritance-a22e1fb12f25754fced72de831b1c52a5eb7dbb7.svg new file mode 100644 index 000000000..f80441627 --- /dev/null +++ b/_images/inheritance-a22e1fb12f25754fced72de831b1c52a5eb7dbb7.svg @@ -0,0 +1,155 @@ + + +inheritancedebe7010fb + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +Obj + + +Obj + + + + + +DocumentedEntityMixin->Obj + + + + + +ParameterInterfaceItemMixin + + +ParameterInterfaceItemMixin + + + + + +InterfaceItemMixin->ParameterInterfaceItemMixin + + + + + +InterfaceItemWithModeMixin + + +InterfaceItemWithModeMixin + + + + + +ParameterVariableInterfaceItem + + +ParameterVariableInterfaceItem + + + + + +InterfaceItemWithModeMixin->ParameterVariableInterfaceItem + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Obj + + + + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + +MultipleNamedEntityMixin->Obj + + + + + +Variable + + +Variable + + + + + +Obj->Variable + + + + + +ParameterInterfaceItemMixin->ParameterVariableInterfaceItem + + + + + +Variable->ParameterVariableInterfaceItem + + + + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + +WithDefaultExpressionMixin->Variable + + + + + \ No newline at end of file diff --git a/_images/inheritance-a2e243c825e7f11b721d1339e883f3014686654a.svg b/_images/inheritance-a2e243c825e7f11b721d1339e883f3014686654a.svg new file mode 100644 index 000000000..f56eddd79 --- /dev/null +++ b/_images/inheritance-a2e243c825e7f11b721d1339e883f3014686654a.svg @@ -0,0 +1,29 @@ + + +inheritancec09ff09711 + + +EntitySymbol + + +EntitySymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->EntitySymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-a2f9303f7f214e8888f3b967e141aac53f96e246.svg b/_images/inheritance-a2f9303f7f214e8888f3b967e141aac53f96e246.svg new file mode 100644 index 000000000..c312ab9cd --- /dev/null +++ b/_images/inheritance-a2f9303f7f214e8888f3b967e141aac53f96e246.svg @@ -0,0 +1,89 @@ + + +inheritance83c8ad6364 + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +ProtectedTypeBody + + +ProtectedTypeBody + + + + + +FullType->ProtectedTypeBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-a3028027ec3d073349f03d9140a2265276229c5f.svg b/_images/inheritance-a3028027ec3d073349f03d9140a2265276229c5f.svg new file mode 100644 index 000000000..59a228b8f --- /dev/null +++ b/_images/inheritance-a3028027ec3d073349f03d9140a2265276229c5f.svg @@ -0,0 +1,104 @@ + + +inheritance41b486d74d + + +BranchMixin + + +BranchMixin + + + + + +ElseBranchMixin + + +ElseBranchMixin + + + + + +BranchMixin->ElseBranchMixin + + + + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +GenerateBranch + + +GenerateBranch + + + + + +ConcurrentDeclarationRegionMixin->GenerateBranch + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->GenerateBranch + + + + + +ElseGenerateBranch + + +ElseGenerateBranch + + + + + +ElseBranchMixin->ElseGenerateBranch + + + + + +GenerateBranch->ElseGenerateBranch + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->GenerateBranch + + + + + \ No newline at end of file diff --git a/_images/inheritance-a3083734dfd87a58e60835eca5022595597754ab.svg b/_images/inheritance-a3083734dfd87a58e60835eca5022595597754ab.svg new file mode 100644 index 000000000..ff969f2da --- /dev/null +++ b/_images/inheritance-a3083734dfd87a58e60835eca5022595597754ab.svg @@ -0,0 +1,59 @@ + + +inheritance8733250380 + + +BaseChoice + + +BaseChoice + + + + + +ConcurrentChoice + + +ConcurrentChoice + + + + + +BaseChoice->ConcurrentChoice + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseChoice + + + + + +RangedGenerateChoice + + +RangedGenerateChoice + + + + + +ConcurrentChoice->RangedGenerateChoice + + + + + \ No newline at end of file diff --git a/_images/inheritance-a36fc00777307aa28a55fcf183e8d099ea88387e.svg b/_images/inheritance-a36fc00777307aa28a55fcf183e8d099ea88387e.svg new file mode 100644 index 000000000..a19bc0d38 --- /dev/null +++ b/_images/inheritance-a36fc00777307aa28a55fcf183e8d099ea88387e.svg @@ -0,0 +1,104 @@ + + +inheritance3e743755c8 + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +ScalarType + + +ScalarType + + + + + +FullType->ScalarType + + + + + +RangedScalarType + + +RangedScalarType + + + + + +ScalarType->RangedScalarType + + + + + \ No newline at end of file diff --git a/_images/inheritance-a4263dda459733ee709eaab8fc6db0d8d85863b0.svg b/_images/inheritance-a4263dda459733ee709eaab8fc6db0d8d85863b0.svg new file mode 100644 index 000000000..5c8263456 --- /dev/null +++ b/_images/inheritance-a4263dda459733ee709eaab8fc6db0d8d85863b0.svg @@ -0,0 +1,44 @@ + + +inheritance537c39ce8a + + +DependencyGraphEdgeKind + + +DependencyGraphEdgeKind + + + + + +Flag + + +Flag + + + + + +Flag->DependencyGraphEdgeKind + + + + + +Enum + + +Enum + + + + + +Enum->Flag + + + + + \ No newline at end of file diff --git a/_images/inheritance-a48f3cd442d3e0c7d0a9bcbdfd704c61434400c9.svg b/_images/inheritance-a48f3cd442d3e0c7d0a9bcbdfd704c61434400c9.svg new file mode 100644 index 000000000..7d5b99ec2 --- /dev/null +++ b/_images/inheritance-a48f3cd442d3e0c7d0a9bcbdfd704c61434400c9.svg @@ -0,0 +1,29 @@ + + +inheritanced8c2d95000 + + +ModelEntity + + +ModelEntity + + + + + +PSLEntity + + +PSLEntity + + + + + +ModelEntity->PSLEntity + + + + + \ No newline at end of file diff --git a/_images/inheritance-a4dce773865feb5f5d78d08b3bbc9c471cf6430c.svg b/_images/inheritance-a4dce773865feb5f5d78d08b3bbc9c471cf6430c.svg new file mode 100644 index 000000000..feed6f59c --- /dev/null +++ b/_images/inheritance-a4dce773865feb5f5d78d08b3bbc9c471cf6430c.svg @@ -0,0 +1,164 @@ + + +inheritance1bfaca2a79 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +TextIO_Body + + +TextIO_Body + + + + + +PredefinedPackageBody->TextIO_Body + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-a4e78fca98af12c96a48239e04928cf20fe8fcbe.svg b/_images/inheritance-a4e78fca98af12c96a48239e04928cf20fe8fcbe.svg new file mode 100644 index 000000000..d4335c9ba --- /dev/null +++ b/_images/inheritance-a4e78fca98af12c96a48239e04928cf20fe8fcbe.svg @@ -0,0 +1,89 @@ + + +inheritancecce40f4126 + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +ProcedureCallMixin + + +ProcedureCallMixin + + + + + +SequentialProcedureCall + + +SequentialProcedureCall + + + + + +ProcedureCallMixin->SequentialProcedureCall + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->SequentialProcedureCall + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-a5aa8c58a7349ca63d1d8c3c592954d85f18b3a0.svg b/_images/inheritance-a5aa8c58a7349ca63d1d8c3c592954d85f18b3a0.svg new file mode 100644 index 000000000..3eb5b1277 --- /dev/null +++ b/_images/inheritance-a5aa8c58a7349ca63d1d8c3c592954d85f18b3a0.svg @@ -0,0 +1,119 @@ + + +inheritance801b934d6d + + +CompoundStatement + + +CompoundStatement + + + + + +LoopStatement + + +LoopStatement + + + + + +CompoundStatement->LoopStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->CompoundStatement + + + + + +ForLoopStatement + + +ForLoopStatement + + + + + +LoopStatement->ForLoopStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->LoopStatement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-a62c19304ac9febe703eb7ce91f38174c7bf33d5.svg b/_images/inheritance-a62c19304ac9febe703eb7ce91f38174c7bf33d5.svg new file mode 100644 index 000000000..6180e1cf1 --- /dev/null +++ b/_images/inheritance-a62c19304ac9febe703eb7ce91f38174c7bf33d5.svg @@ -0,0 +1,44 @@ + + +inheritancee78472d626 + + +ConstrainedScalarSubtypeSymbol + + +ConstrainedScalarSubtypeSymbol + + + + + +SubtypeSymbol + + +SubtypeSymbol + + + + + +SubtypeSymbol->ConstrainedScalarSubtypeSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->SubtypeSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-a6c38d3df59c01a17b300fe603ef0d3817c68626.svg b/_images/inheritance-a6c38d3df59c01a17b300fe603ef0d3817c68626.svg new file mode 100644 index 000000000..256a4abc4 --- /dev/null +++ b/_images/inheritance-a6c38d3df59c01a17b300fe603ef0d3817c68626.svg @@ -0,0 +1,89 @@ + + +inheritance0c578db737 + + +CompoundStatement + + +CompoundStatement + + + + + +IfStatement + + +IfStatement + + + + + +CompoundStatement->IfStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->CompoundStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-a73907141c26294b5cf336fe9b7bcd3fc7b6115e.svg b/_images/inheritance-a73907141c26294b5cf336fe9b7bcd3fc7b6115e.svg new file mode 100644 index 000000000..a62cf1cf6 --- /dev/null +++ b/_images/inheritance-a73907141c26294b5cf336fe9b7bcd3fc7b6115e.svg @@ -0,0 +1,125 @@ + + +inheritance0630be94b2 + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +GenericInterfaceItemMixin + + +GenericInterfaceItemMixin + + + + + +GenericProcedureInterfaceItem + + +GenericProcedureInterfaceItem + + + + + +GenericInterfaceItemMixin->GenericProcedureInterfaceItem + + + + + +InterfaceItemMixin->GenericInterfaceItemMixin + + + + + +Procedure + + +Procedure + + + + + +Procedure->GenericProcedureInterfaceItem + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + +Subprogram->Procedure + + + + + \ No newline at end of file diff --git a/_images/inheritance-a751c39e6a75a1d4ed88d935f2ff470c551ffc66.svg b/_images/inheritance-a751c39e6a75a1d4ed88d935f2ff470c551ffc66.svg new file mode 100644 index 000000000..43e2be5f9 --- /dev/null +++ b/_images/inheritance-a751c39e6a75a1d4ed88d935f2ff470c551ffc66.svg @@ -0,0 +1,74 @@ + + +inheritance80318b6b64 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +GreaterEqualExpression + + +GreaterEqualExpression + + + + + +RelationalExpression->GreaterEqualExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-a7c8dbfef1dc0a18a77cd3d7f33940b8ac2fb65b.svg b/_images/inheritance-a7c8dbfef1dc0a18a77cd3d7f33940b8ac2fb65b.svg new file mode 100644 index 000000000..0af509910 --- /dev/null +++ b/_images/inheritance-a7c8dbfef1dc0a18a77cd3d7f33940b8ac2fb65b.svg @@ -0,0 +1,89 @@ + + +inheritance45eeece200 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +MatchingGreaterEqualExpression + + +MatchingGreaterEqualExpression + + + + + +MatchingRelationalExpression + + +MatchingRelationalExpression + + + + + +MatchingRelationalExpression->MatchingGreaterEqualExpression + + + + + +RelationalExpression->MatchingRelationalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-a8315b5c4739259fe7ec445cfe57acb4744c8267.svg b/_images/inheritance-a8315b5c4739259fe7ec445cfe57acb4744c8267.svg new file mode 100644 index 000000000..a923b4f74 --- /dev/null +++ b/_images/inheritance-a8315b5c4739259fe7ec445cfe57acb4744c8267.svg @@ -0,0 +1,14 @@ + + +inheritance39dba80b9b + + +DiscreteTypeMixin + + +DiscreteTypeMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-a9b10ad8df917ccd77e6c8b7ff8d28a6bbec1fdd.svg b/_images/inheritance-a9b10ad8df917ccd77e6c8b7ff8d28a6bbec1fdd.svg new file mode 100644 index 000000000..5ff4bd175 --- /dev/null +++ b/_images/inheritance-a9b10ad8df917ccd77e6c8b7ff8d28a6bbec1fdd.svg @@ -0,0 +1,74 @@ + + +inheritancee078c7d359 + + +Library + + +Library + + + + + +PredefinedLibrary + + +PredefinedLibrary + + + + + +Library->PredefinedLibrary + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Library + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Library + + + + + +Std + + +Std + + + + + +PredefinedLibrary->Std + + + + + \ No newline at end of file diff --git a/_images/inheritance-aa17508119db153a42673755db84147355d1286c.svg b/_images/inheritance-aa17508119db153a42673755db84147355d1286c.svg new file mode 100644 index 000000000..3da0d42f1 --- /dev/null +++ b/_images/inheritance-aa17508119db153a42673755db84147355d1286c.svg @@ -0,0 +1,164 @@ + + +inheritanceac1db66c3b + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +Standard + + +Standard + + + + + +PredefinedPackage->Standard + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-aaac5f8e7eb940950dc9b0202d6e9474d6f25457.svg b/_images/inheritance-aaac5f8e7eb940950dc9b0202d6e9474d6f25457.svg new file mode 100644 index 000000000..8c5badd2e --- /dev/null +++ b/_images/inheritance-aaac5f8e7eb940950dc9b0202d6e9474d6f25457.svg @@ -0,0 +1,29 @@ + + +inheritanced1b609e6a8 + + +GenericEntityInstantiationMixin + + +GenericEntityInstantiationMixin + + + + + +GenericInstantiationMixin + + +GenericInstantiationMixin + + + + + +GenericInstantiationMixin->GenericEntityInstantiationMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-aadf75e54e9fd113e298596b00edb3aa8ffaf712.svg b/_images/inheritance-aadf75e54e9fd113e298596b00edb3aa8ffaf712.svg new file mode 100644 index 000000000..d8d6a8d0b --- /dev/null +++ b/_images/inheritance-aadf75e54e9fd113e298596b00edb3aa8ffaf712.svg @@ -0,0 +1,14 @@ + + +inheritancea663d504fd + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-aae268b774f143228acd0ab4f588e051c9f67fea.svg b/_images/inheritance-aae268b774f143228acd0ab4f588e051c9f67fea.svg new file mode 100644 index 000000000..4443394f9 --- /dev/null +++ b/_images/inheritance-aae268b774f143228acd0ab4f588e051c9f67fea.svg @@ -0,0 +1,89 @@ + + +inheritance5080d1bbce + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +ShiftLogicExpression + + +ShiftLogicExpression + + + + + +ShiftExpression->ShiftLogicExpression + + + + + +ShiftRightLogicExpression + + +ShiftRightLogicExpression + + + + + +ShiftLogicExpression->ShiftRightLogicExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-ab3a413c3872acf922d37935edd9fb7d3bed9a7d.svg b/_images/inheritance-ab3a413c3872acf922d37935edd9fb7d3bed9a7d.svg new file mode 100644 index 000000000..8e2e0ddeb --- /dev/null +++ b/_images/inheritance-ab3a413c3872acf922d37935edd9fb7d3bed9a7d.svg @@ -0,0 +1,59 @@ + + +inheritance2a09777f72 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +UnaryNorExpression + + +UnaryNorExpression + + + + + +UnaryExpression->UnaryNorExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-ab7564e67d307a028372cc7e823e33950de95bb9.svg b/_images/inheritance-ab7564e67d307a028372cc7e823e33950de95bb9.svg new file mode 100644 index 000000000..4cbdad041 --- /dev/null +++ b/_images/inheritance-ab7564e67d307a028372cc7e823e33950de95bb9.svg @@ -0,0 +1,89 @@ + + +inheritancef574a883d2 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +MatchingRelationalExpression + + +MatchingRelationalExpression + + + + + +MatchingUnequalExpression + + +MatchingUnequalExpression + + + + + +MatchingRelationalExpression->MatchingUnequalExpression + + + + + +RelationalExpression->MatchingRelationalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-aca664d72381a8177676518e27cb58919850af1c.svg b/_images/inheritance-aca664d72381a8177676518e27cb58919850af1c.svg new file mode 100644 index 000000000..e5c77670c --- /dev/null +++ b/_images/inheritance-aca664d72381a8177676518e27cb58919850af1c.svg @@ -0,0 +1,164 @@ + + +inheritance4adecebf5d + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Fixed_Float_Types + + +Fixed_Float_Types + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Fixed_Float_Types + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-ad9e09e9d1c97d7c6eca44cc5b54272903adfad9.svg b/_images/inheritance-ad9e09e9d1c97d7c6eca44cc5b54272903adfad9.svg new file mode 100644 index 000000000..b89cb9ca6 --- /dev/null +++ b/_images/inheritance-ad9e09e9d1c97d7c6eca44cc5b54272903adfad9.svg @@ -0,0 +1,104 @@ + + +inheritance9f8074caf4 + + +AssignmentMixin + + +AssignmentMixin + + + + + +SignalAssignmentMixin + + +SignalAssignmentMixin + + + + + +AssignmentMixin->SignalAssignmentMixin + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +SequentialSignalAssignment + + +SequentialSignalAssignment + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->SequentialSignalAssignment + + + + + +SignalAssignmentMixin->SequentialSignalAssignment + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-af2ae2c98a1f7245bc69287dd54301a27f7faa44.svg b/_images/inheritance-af2ae2c98a1f7245bc69287dd54301a27f7faa44.svg new file mode 100644 index 000000000..58e8c9ffb --- /dev/null +++ b/_images/inheritance-af2ae2c98a1f7245bc69287dd54301a27f7faa44.svg @@ -0,0 +1,29 @@ + + +inheritance8a20b739ef + + +ArchitectureSymbol + + +ArchitectureSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->ArchitectureSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-b17be5743d0caf58282db94de330c53435073015.svg b/_images/inheritance-b17be5743d0caf58282db94de330c53435073015.svg new file mode 100644 index 000000000..44b2d5c62 --- /dev/null +++ b/_images/inheritance-b17be5743d0caf58282db94de330c53435073015.svg @@ -0,0 +1,74 @@ + + +inheritance126e0bfaa0 + + +BaseType + + +BaseType + + + + + +Subtype + + +Subtype + + + + + +BaseType->Subtype + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + \ No newline at end of file diff --git a/_images/inheritance-b1c81ccf6e4235806135e0e3057f28ca5885b40b.svg b/_images/inheritance-b1c81ccf6e4235806135e0e3057f28ca5885b40b.svg new file mode 100644 index 000000000..60d060763 --- /dev/null +++ b/_images/inheritance-b1c81ccf6e4235806135e0e3057f28ca5885b40b.svg @@ -0,0 +1,164 @@ + + +inheritance18b3b8093d + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Env + + +Env + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Env + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-b37467e80df69c67b0c28f1d323e2dd19abe2f83.svg b/_images/inheritance-b37467e80df69c67b0c28f1d323e2dd19abe2f83.svg new file mode 100644 index 000000000..fce64cb10 --- /dev/null +++ b/_images/inheritance-b37467e80df69c67b0c28f1d323e2dd19abe2f83.svg @@ -0,0 +1,89 @@ + + +inheritance5e14d7bbd5 + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +PSLPrimaryUnit + + +PSLPrimaryUnit + + + + + +PrimaryUnit->PSLPrimaryUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-b43c51f30bec81b8714a5478274923fc604cb23c.svg b/_images/inheritance-b43c51f30bec81b8714a5478274923fc604cb23c.svg new file mode 100644 index 000000000..5deb035ce --- /dev/null +++ b/_images/inheritance-b43c51f30bec81b8714a5478274923fc604cb23c.svg @@ -0,0 +1,74 @@ + + +inheritance462993717f + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RangeExpression + + +RangeExpression + + + + + +BinaryExpression->RangeExpression + + + + + +DescendingRangeExpression + + +DescendingRangeExpression + + + + + +RangeExpression->DescendingRangeExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-b6c44c2477a5029db09fbd873372431a5ef63687.svg b/_images/inheritance-b6c44c2477a5029db09fbd873372431a5ef63687.svg new file mode 100644 index 000000000..240122afd --- /dev/null +++ b/_images/inheritance-b6c44c2477a5029db09fbd873372431a5ef63687.svg @@ -0,0 +1,164 @@ + + +inheritancec91c21e962 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Numeric_Bit_Unsigned_Body + + +Numeric_Bit_Unsigned_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Numeric_Bit_Unsigned_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-b6f58b5aebd770165a7903126fb01443707ab28b.svg b/_images/inheritance-b6f58b5aebd770165a7903126fb01443707ab28b.svg new file mode 100644 index 000000000..c37777cdd --- /dev/null +++ b/_images/inheritance-b6f58b5aebd770165a7903126fb01443707ab28b.svg @@ -0,0 +1,59 @@ + + +inheritance89e0ceedb6 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +GenerateBranch + + +GenerateBranch + + + + + +ConcurrentDeclarationRegionMixin->GenerateBranch + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->GenerateBranch + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->GenerateBranch + + + + + \ No newline at end of file diff --git a/_images/inheritance-b709d7643867c75c5710e180509ea1865e727f16.svg b/_images/inheritance-b709d7643867c75c5710e180509ea1865e727f16.svg new file mode 100644 index 000000000..5320dd3cb --- /dev/null +++ b/_images/inheritance-b709d7643867c75c5710e180509ea1865e727f16.svg @@ -0,0 +1,104 @@ + + +inheritance4a6bd0e3df + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +GenericInstantiationMixin + + +GenericInstantiationMixin + + + + + +PackageInstantiation + + +PackageInstantiation + + + + + +GenericInstantiationMixin->PackageInstantiation + + + + + +PrimaryUnit->PackageInstantiation + + + + + \ No newline at end of file diff --git a/_images/inheritance-b7f0619dd7ca67136fca44275f25aeab29a67efa.svg b/_images/inheritance-b7f0619dd7ca67136fca44275f25aeab29a67efa.svg new file mode 100644 index 000000000..d3c5f3e87 --- /dev/null +++ b/_images/inheritance-b7f0619dd7ca67136fca44275f25aeab29a67efa.svg @@ -0,0 +1,44 @@ + + +inheritanceeb5408e35c + + +IndexedName + + +IndexedName + + + + + +Name + + +Name + + + + + +Name->IndexedName + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Name + + + + + \ No newline at end of file diff --git a/_images/inheritance-b8835742553233451306ef9478f358fb257667c3.svg b/_images/inheritance-b8835742553233451306ef9478f358fb257667c3.svg new file mode 100644 index 000000000..d74009dae --- /dev/null +++ b/_images/inheritance-b8835742553233451306ef9478f358fb257667c3.svg @@ -0,0 +1,119 @@ + + +inheritancec218f92451 + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ProcessStatement + + +ProcessStatement + + + + + +ConcurrentStatement->ProcessStatement + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->ProcessStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +SequentialDeclarationsMixin + + +SequentialDeclarationsMixin + + + + + +SequentialDeclarationsMixin->ProcessStatement + + + + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + +SequentialStatementsMixin->ProcessStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-b89af419c20e955d78f6606bb02578a3921321f8.svg b/_images/inheritance-b89af419c20e955d78f6606bb02578a3921321f8.svg new file mode 100644 index 000000000..0b4034c29 --- /dev/null +++ b/_images/inheritance-b89af419c20e955d78f6606bb02578a3921321f8.svg @@ -0,0 +1,89 @@ + + +inheritance46c0dfd6ec + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +ReportStatementMixin + + +ReportStatementMixin + + + + + +SequentialReportStatement + + +SequentialReportStatement + + + + + +ReportStatementMixin->SequentialReportStatement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->SequentialReportStatement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-b8d04c69149b743457e013f9cbf639e1bc18f62e.svg b/_images/inheritance-b8d04c69149b743457e013f9cbf639e1bc18f62e.svg new file mode 100644 index 000000000..810bd1c6c --- /dev/null +++ b/_images/inheritance-b8d04c69149b743457e013f9cbf639e1bc18f62e.svg @@ -0,0 +1,14 @@ + + +inheritance9d351a30e1 + + +NamedEntityMixin + + +NamedEntityMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-b8ed7c74f2faef3ca2c9dcda742a42965754862a.svg b/_images/inheritance-b8ed7c74f2faef3ca2c9dcda742a42965754862a.svg new file mode 100644 index 000000000..e68bd57b7 --- /dev/null +++ b/_images/inheritance-b8ed7c74f2faef3ca2c9dcda742a42965754862a.svg @@ -0,0 +1,59 @@ + + +inheritance6e993f4efa + + +Component + + +Component + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Component + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Component + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Component + + + + + \ No newline at end of file diff --git a/_images/inheritance-b8fc221600cf874ef6719d957d8ffbd5767d9c88.svg b/_images/inheritance-b8fc221600cf874ef6719d957d8ffbd5767d9c88.svg new file mode 100644 index 000000000..257850769 --- /dev/null +++ b/_images/inheritance-b8fc221600cf874ef6719d957d8ffbd5767d9c88.svg @@ -0,0 +1,89 @@ + + +inheritancec13d6ee02e + + +AnonymousType + + +AnonymousType + + + + + +Type + + +Type + + + + + +Type->AnonymousType + + + + + +BaseType + + +BaseType + + + + + +BaseType->Type + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + \ No newline at end of file diff --git a/_images/inheritance-b9deae69969b1f3d124e5d83ba27953ccd7cbebb.svg b/_images/inheritance-b9deae69969b1f3d124e5d83ba27953ccd7cbebb.svg new file mode 100644 index 000000000..e79750d26 --- /dev/null +++ b/_images/inheritance-b9deae69969b1f3d124e5d83ba27953ccd7cbebb.svg @@ -0,0 +1,89 @@ + + +inheritancea09f72b942 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +ShiftExpression + + +ShiftExpression + + + + + +BinaryExpression->ShiftExpression + + + + + +ShiftArithmeticExpression + + +ShiftArithmeticExpression + + + + + +ShiftLeftArithmeticExpression + + +ShiftLeftArithmeticExpression + + + + + +ShiftArithmeticExpression->ShiftLeftArithmeticExpression + + + + + +ShiftExpression->ShiftArithmeticExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-ba6c784de3de5339f34aee4b4366b651da301a1b.svg b/_images/inheritance-ba6c784de3de5339f34aee4b4366b651da301a1b.svg new file mode 100644 index 000000000..e33a528bb --- /dev/null +++ b/_images/inheritance-ba6c784de3de5339f34aee4b4366b651da301a1b.svg @@ -0,0 +1,29 @@ + + +inheritancec8216b98ab + + +RecordElementSymbol + + +RecordElementSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->RecordElementSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-ba7eb18410301c3b3389769a41125149212054af.svg b/_images/inheritance-ba7eb18410301c3b3389769a41125149212054af.svg new file mode 100644 index 000000000..a18f3691c --- /dev/null +++ b/_images/inheritance-ba7eb18410301c3b3389769a41125149212054af.svg @@ -0,0 +1,44 @@ + + +inheritance200a74259a + + +ModelEntity + + +ModelEntity + + + + + +Reference + + +Reference + + + + + +ModelEntity->Reference + + + + + +UseClause + + +UseClause + + + + + +Reference->UseClause + + + + + \ No newline at end of file diff --git a/_images/inheritance-bac10ba8f0a4a0aad64b5ec09e34bfdc4be06d19.svg b/_images/inheritance-bac10ba8f0a4a0aad64b5ec09e34bfdc4be06d19.svg new file mode 100644 index 000000000..e19b474fc --- /dev/null +++ b/_images/inheritance-bac10ba8f0a4a0aad64b5ec09e34bfdc4be06d19.svg @@ -0,0 +1,44 @@ + + +inheritance978b938a26 + + +BaseChoice + + +BaseChoice + + + + + +ConcurrentChoice + + +ConcurrentChoice + + + + + +BaseChoice->ConcurrentChoice + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseChoice + + + + + \ No newline at end of file diff --git a/_images/inheritance-bb192a87e09965ad8f1d7423e1b36f8e9507b762.svg b/_images/inheritance-bb192a87e09965ad8f1d7423e1b36f8e9507b762.svg new file mode 100644 index 000000000..81998a887 --- /dev/null +++ b/_images/inheritance-bb192a87e09965ad8f1d7423e1b36f8e9507b762.svg @@ -0,0 +1,104 @@ + + +inheritancec74d712283 + + +Configuration + + +Configuration + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +PrimaryUnit->Configuration + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Configuration + + + + + +DesignUnit + + +DesignUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-bb431cec7a652a17f91bdf7b88624bc30a29162b.svg b/_images/inheritance-bb431cec7a652a17f91bdf7b88624bc30a29162b.svg new file mode 100644 index 000000000..9f71d6941 --- /dev/null +++ b/_images/inheritance-bb431cec7a652a17f91bdf7b88624bc30a29162b.svg @@ -0,0 +1,44 @@ + + +inheritance3976506a58 + + +SimpleSubtypeSymbol + + +SimpleSubtypeSymbol + + + + + +SubtypeSymbol + + +SubtypeSymbol + + + + + +SubtypeSymbol->SimpleSubtypeSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->SubtypeSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-bc2eb758d84f669cff3331c4c5a3c7edbd8118e3.svg b/_images/inheritance-bc2eb758d84f669cff3331c4c5a3c7edbd8118e3.svg new file mode 100644 index 000000000..df2b3b9e1 --- /dev/null +++ b/_images/inheritance-bc2eb758d84f669cff3331c4c5a3c7edbd8118e3.svg @@ -0,0 +1,134 @@ + + +inheritance88e9d1aaa6 + + +BranchMixin + + +BranchMixin + + + + + +ConditionalBranchMixin + + +ConditionalBranchMixin + + + + + +BranchMixin->ConditionalBranchMixin + + + + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +GenerateBranch + + +GenerateBranch + + + + + +ConcurrentDeclarationRegionMixin->GenerateBranch + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->GenerateBranch + + + + + +ElsifBranchMixin + + +ElsifBranchMixin + + + + + +ConditionalBranchMixin->ElsifBranchMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->ConditionalBranchMixin + + + + + +ElsifGenerateBranch + + +ElsifGenerateBranch + + + + + +ElsifBranchMixin->ElsifGenerateBranch + + + + + +GenerateBranch->ElsifGenerateBranch + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->GenerateBranch + + + + + \ No newline at end of file diff --git a/_images/inheritance-bd30d501cad919fdca919b0c7293545466a61633.svg b/_images/inheritance-bd30d501cad919fdca919b0c7293545466a61633.svg new file mode 100644 index 000000000..82ec36305 --- /dev/null +++ b/_images/inheritance-bd30d501cad919fdca919b0c7293545466a61633.svg @@ -0,0 +1,59 @@ + + +inheritance34ed945e77 + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-bdfb222c3b3faae513ca078744ecd807b89555cb.svg b/_images/inheritance-bdfb222c3b3faae513ca078744ecd807b89555cb.svg new file mode 100644 index 000000000..181500c74 --- /dev/null +++ b/_images/inheritance-bdfb222c3b3faae513ca078744ecd807b89555cb.svg @@ -0,0 +1,29 @@ + + +inheritance544022e20e + + +EntityClass + + +EntityClass + + + + + +Enum + + +Enum + + + + + +Enum->EntityClass + + + + + \ No newline at end of file diff --git a/_images/inheritance-bec59857d57d823a6fe9d94205ed71db1fb074ea.svg b/_images/inheritance-bec59857d57d823a6fe9d94205ed71db1fb074ea.svg new file mode 100644 index 000000000..809d0a214 --- /dev/null +++ b/_images/inheritance-bec59857d57d823a6fe9d94205ed71db1fb074ea.svg @@ -0,0 +1,89 @@ + + +inheritancee1b2c861b3 + + +AccessType + + +AccessType + + + + + +FullType + + +FullType + + + + + +FullType->AccessType + + + + + +BaseType + + +BaseType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + \ No newline at end of file diff --git a/_images/inheritance-bf72056d3ac67df3e61ba535f1b210108f885ef0.svg b/_images/inheritance-bf72056d3ac67df3e61ba535f1b210108f885ef0.svg new file mode 100644 index 000000000..3c533898b --- /dev/null +++ b/_images/inheritance-bf72056d3ac67df3e61ba535f1b210108f885ef0.svg @@ -0,0 +1,134 @@ + + +inheritance16e9523ad1 + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +ScalarType + + +ScalarType + + + + + +FullType->ScalarType + + + + + +NumericTypeMixin + + +NumericTypeMixin + + + + + +RealType + + +RealType + + + + + +NumericTypeMixin->RealType + + + + + +RangedScalarType + + +RangedScalarType + + + + + +RangedScalarType->RealType + + + + + +ScalarType->RangedScalarType + + + + + \ No newline at end of file diff --git a/_images/inheritance-c0b8fc127066e2da3d2cbe3af148a2cca40618b0.svg b/_images/inheritance-c0b8fc127066e2da3d2cbe3af148a2cca40618b0.svg new file mode 100644 index 000000000..245820b91 --- /dev/null +++ b/_images/inheritance-c0b8fc127066e2da3d2cbe3af148a2cca40618b0.svg @@ -0,0 +1,74 @@ + + +inheritance85726c16db + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +MultiplyingExpression + + +MultiplyingExpression + + + + + +BinaryExpression->MultiplyingExpression + + + + + +ExponentiationExpression + + +ExponentiationExpression + + + + + +MultiplyingExpression->ExponentiationExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-c0d3af9bc3b9d017fd2797c66040ad098a09ecce.svg b/_images/inheritance-c0d3af9bc3b9d017fd2797c66040ad098a09ecce.svg new file mode 100644 index 000000000..a6c8b1427 --- /dev/null +++ b/_images/inheritance-c0d3af9bc3b9d017fd2797c66040ad098a09ecce.svg @@ -0,0 +1,89 @@ + + +inheritance953873d1d9 + + +Context + + +Context + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +PrimaryUnit->Context + + + + + +DesignUnit + + +DesignUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-c0eb64ef3db16cdff892e1ad528919ef6dc38088.svg b/_images/inheritance-c0eb64ef3db16cdff892e1ad528919ef6dc38088.svg new file mode 100644 index 000000000..fdb8d4058 --- /dev/null +++ b/_images/inheritance-c0eb64ef3db16cdff892e1ad528919ef6dc38088.svg @@ -0,0 +1,14 @@ + + +inheritance02736034c8 + + +SequentialStatementsMixin + + +SequentialStatementsMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-c183d7eda3a0ddb9e46a4c422913b33f65f449da.svg b/_images/inheritance-c183d7eda3a0ddb9e46a4c422913b33f65f449da.svg new file mode 100644 index 000000000..5d11cd01d --- /dev/null +++ b/_images/inheritance-c183d7eda3a0ddb9e46a4c422913b33f65f449da.svg @@ -0,0 +1,119 @@ + + +inheritancef2f225670c + + +AssignmentMixin + + +AssignmentMixin + + + + + +SignalAssignmentMixin + + +SignalAssignmentMixin + + + + + +AssignmentMixin->SignalAssignmentMixin + + + + + +ConcurrentConditionalSignalAssignment + + +ConcurrentConditionalSignalAssignment + + + + + +ConcurrentSignalAssignment + + +ConcurrentSignalAssignment + + + + + +ConcurrentSignalAssignment->ConcurrentConditionalSignalAssignment + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->ConcurrentSignalAssignment + + + + + +SignalAssignmentMixin->ConcurrentSignalAssignment + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-c195f5aae36146ea0682bccea8d5e45dd11b8211.svg b/_images/inheritance-c195f5aae36146ea0682bccea8d5e45dd11b8211.svg new file mode 100644 index 000000000..b0732e01a --- /dev/null +++ b/_images/inheritance-c195f5aae36146ea0682bccea8d5e45dd11b8211.svg @@ -0,0 +1,29 @@ + + +inheritance7581ab1270 + + +BaseExpression + + +BaseExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-c1b27db6ea33a3b3e5094402d8f060dd4ea3d3e5.svg b/_images/inheritance-c1b27db6ea33a3b3e5094402d8f060dd4ea3d3e5.svg new file mode 100644 index 000000000..7b94bf8fd --- /dev/null +++ b/_images/inheritance-c1b27db6ea33a3b3e5094402d8f060dd4ea3d3e5.svg @@ -0,0 +1,44 @@ + + +inheritanced72a330be9 + + +Aggregate + + +Aggregate + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->Aggregate + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-c3a7ff47e9d4a053befdb53b89fafe749419ebbf.svg b/_images/inheritance-c3a7ff47e9d4a053befdb53b89fafe749419ebbf.svg new file mode 100644 index 000000000..d5ecb57af --- /dev/null +++ b/_images/inheritance-c3a7ff47e9d4a053befdb53b89fafe749419ebbf.svg @@ -0,0 +1,44 @@ + + +inheritance655ab2ff05 + + +AggregateElement + + +AggregateElement + + + + + +RangedAggregateElement + + +RangedAggregateElement + + + + + +AggregateElement->RangedAggregateElement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AggregateElement + + + + + \ No newline at end of file diff --git a/_images/inheritance-c51266d1032a64747807bf8111bf018632d0b4a3.svg b/_images/inheritance-c51266d1032a64747807bf8111bf018632d0b4a3.svg new file mode 100644 index 000000000..090374999 --- /dev/null +++ b/_images/inheritance-c51266d1032a64747807bf8111bf018632d0b4a3.svg @@ -0,0 +1,164 @@ + + +inheritanceb84e47764c + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +std_logic_textio + + +std_logic_textio + + + + + +PredefinedPackage->std_logic_textio + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-c738c447924640c882bbe0913e6559d8e73ef5e2.svg b/_images/inheritance-c738c447924640c882bbe0913e6559d8e73ef5e2.svg new file mode 100644 index 000000000..403f6f6c6 --- /dev/null +++ b/_images/inheritance-c738c447924640c882bbe0913e6559d8e73ef5e2.svg @@ -0,0 +1,29 @@ + + +inheritance9286b22121 + + +Direction + + +Direction + + + + + +Enum + + +Enum + + + + + +Enum->Direction + + + + + \ No newline at end of file diff --git a/_images/inheritance-c7fcc6557955bc9125037187d1ac3016a94cf92a.svg b/_images/inheritance-c7fcc6557955bc9125037187d1ac3016a94cf92a.svg new file mode 100644 index 000000000..bdf588aed --- /dev/null +++ b/_images/inheritance-c7fcc6557955bc9125037187d1ac3016a94cf92a.svg @@ -0,0 +1,89 @@ + + +inheritanced23f4eb606 + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +Instantiation + + +Instantiation + + + + + +ConcurrentStatement->Instantiation + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +ConfigurationInstantiation + + +ConfigurationInstantiation + + + + + +Instantiation->ConfigurationInstantiation + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-c8b2076fe665965c77dee18bafb72d74f839d21a.svg b/_images/inheritance-c8b2076fe665965c77dee18bafb72d74f839d21a.svg new file mode 100644 index 000000000..8371d05e7 --- /dev/null +++ b/_images/inheritance-c8b2076fe665965c77dee18bafb72d74f839d21a.svg @@ -0,0 +1,125 @@ + + +inheritance59338c9b33 + + +BaseType + + +BaseType + + + + + +Type + + +Type + + + + + +BaseType->Type + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +GenericInterfaceItemMixin + + +GenericInterfaceItemMixin + + + + + +GenericTypeInterfaceItem + + +GenericTypeInterfaceItem + + + + + +GenericInterfaceItemMixin->GenericTypeInterfaceItem + + + + + +InterfaceItemMixin->GenericInterfaceItemMixin + + + + + +Type->GenericTypeInterfaceItem + + + + + \ No newline at end of file diff --git a/_images/inheritance-caa2271f5b7a569e8f7ab14aac35a6f7dbf4d9a8.svg b/_images/inheritance-caa2271f5b7a569e8f7ab14aac35a6f7dbf4d9a8.svg new file mode 100644 index 000000000..af89520b7 --- /dev/null +++ b/_images/inheritance-caa2271f5b7a569e8f7ab14aac35a6f7dbf4d9a8.svg @@ -0,0 +1,59 @@ + + +inheritance48fa5ae9e9 + + +Allocation + + +Allocation + + + + + +SubtypeAllocation + + +SubtypeAllocation + + + + + +Allocation->SubtypeAllocation + + + + + +BaseExpression + + +BaseExpression + + + + + +BaseExpression->Allocation + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-cc6a94b82a66b663acb8d8a6bb71af7dc1fe9cf9.svg b/_images/inheritance-cc6a94b82a66b663acb8d8a6bb71af7dc1fe9cf9.svg new file mode 100644 index 000000000..1a1e3dba9 --- /dev/null +++ b/_images/inheritance-cc6a94b82a66b663acb8d8a6bb71af7dc1fe9cf9.svg @@ -0,0 +1,74 @@ + + +inheritanceb2964d187e + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +LogicalExpression + + +LogicalExpression + + + + + +BinaryExpression->LogicalExpression + + + + + +XorExpression + + +XorExpression + + + + + +LogicalExpression->XorExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-ccabf45948c6e09c7398d6198c74b2a80b093dfe.svg b/_images/inheritance-ccabf45948c6e09c7398d6198c74b2a80b093dfe.svg new file mode 100644 index 000000000..480e10048 --- /dev/null +++ b/_images/inheritance-ccabf45948c6e09c7398d6198c74b2a80b093dfe.svg @@ -0,0 +1,29 @@ + + +inheritancefa65c068da + + +ModelEntity + + +ModelEntity + + + + + +WaveformElement + + +WaveformElement + + + + + +ModelEntity->WaveformElement + + + + + \ No newline at end of file diff --git a/_images/inheritance-ccbf95d3b936fc9db9ceb2cf456df4e2faa1ebb9.svg b/_images/inheritance-ccbf95d3b936fc9db9ceb2cf456df4e2faa1ebb9.svg new file mode 100644 index 000000000..3187f0edf --- /dev/null +++ b/_images/inheritance-ccbf95d3b936fc9db9ceb2cf456df4e2faa1ebb9.svg @@ -0,0 +1,29 @@ + + +inheritance22eb519fbf + + +PackageSymbol + + +PackageSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->PackageSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-cd563ea49b1e161bc4455336f7b77cc9089cddbc.svg b/_images/inheritance-cd563ea49b1e161bc4455336f7b77cc9089cddbc.svg new file mode 100644 index 000000000..3e2d0e44d --- /dev/null +++ b/_images/inheritance-cd563ea49b1e161bc4455336f7b77cc9089cddbc.svg @@ -0,0 +1,44 @@ + + +inheritance8bbfa73c9d + + +ModelEntity + + +ModelEntity + + + + + +Name + + +Name + + + + + +ModelEntity->Name + + + + + +ParenthesisName + + +ParenthesisName + + + + + +Name->ParenthesisName + + + + + \ No newline at end of file diff --git a/_images/inheritance-ce230f4c89a4e4228d3e85a220bbb9212d11c2b4.svg b/_images/inheritance-ce230f4c89a4e4228d3e85a220bbb9212d11c2b4.svg new file mode 100644 index 000000000..86a20f2fc --- /dev/null +++ b/_images/inheritance-ce230f4c89a4e4228d3e85a220bbb9212d11c2b4.svg @@ -0,0 +1,59 @@ + + +inheritancecba8d610dc + + +BaseExpression + + +BaseExpression + + + + + +TernaryExpression + + +TernaryExpression + + + + + +BaseExpression->TernaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +WhenElseExpression + + +WhenElseExpression + + + + + +TernaryExpression->WhenElseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-ce6401442e55c8b78caa754557388c69c0af4457.svg b/_images/inheritance-ce6401442e55c8b78caa754557388c69c0af4457.svg new file mode 100644 index 000000000..44d1b5e69 --- /dev/null +++ b/_images/inheritance-ce6401442e55c8b78caa754557388c69c0af4457.svg @@ -0,0 +1,29 @@ + + +inheritance926c9b4989 + + +PackageReferenceSymbol + + +PackageReferenceSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->PackageReferenceSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-cec3bb4772b6e4ba9c87b122a5bec5918b1d653e.svg b/_images/inheritance-cec3bb4772b6e4ba9c87b122a5bec5918b1d653e.svg new file mode 100644 index 000000000..2435e53e7 --- /dev/null +++ b/_images/inheritance-cec3bb4772b6e4ba9c87b122a5bec5918b1d653e.svg @@ -0,0 +1,164 @@ + + +inheritance309c6436c5 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Numeric_Std_Body + + +Numeric_Std_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Numeric_Std_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-ceea72606d7e039c4fadf14ab5ed7df7f992e36b.svg b/_images/inheritance-ceea72606d7e039c4fadf14ab5ed7df7f992e36b.svg new file mode 100644 index 000000000..87c6756fd --- /dev/null +++ b/_images/inheritance-ceea72606d7e039c4fadf14ab5ed7df7f992e36b.svg @@ -0,0 +1,29 @@ + + +inheritance3b6ce52305 + + +ModelEntity + + +ModelEntity + + + + + +Range + + +Range + + + + + +ModelEntity->Range + + + + + \ No newline at end of file diff --git a/_images/inheritance-cf5c42c6e986703472131fd8128fb4665ff41c5e.svg b/_images/inheritance-cf5c42c6e986703472131fd8128fb4665ff41c5e.svg new file mode 100644 index 000000000..41f2aa1da --- /dev/null +++ b/_images/inheritance-cf5c42c6e986703472131fd8128fb4665ff41c5e.svg @@ -0,0 +1,29 @@ + + +inheritance43197cf93f + + +ArchitectureExistsInLibraryError + + +ArchitectureExistsInLibraryError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->ArchitectureExistsInLibraryError + + + + + \ No newline at end of file diff --git a/_images/inheritance-cf6e08b9162692df2682f1f67679767a878307af.svg b/_images/inheritance-cf6e08b9162692df2682f1f67679767a878307af.svg new file mode 100644 index 000000000..10ecbf9c3 --- /dev/null +++ b/_images/inheritance-cf6e08b9162692df2682f1f67679767a878307af.svg @@ -0,0 +1,164 @@ + + +inheritance66960ed388 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +TextIO + + +TextIO + + + + + +PredefinedPackage->TextIO + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-d063cbd2eb10a5099cb747a8465dea63da6b171a.svg b/_images/inheritance-d063cbd2eb10a5099cb747a8465dea63da6b171a.svg new file mode 100644 index 000000000..f89bf5772 --- /dev/null +++ b/_images/inheritance-d063cbd2eb10a5099cb747a8465dea63da6b171a.svg @@ -0,0 +1,164 @@ + + +inheritance2d221c302d + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Math_Real_Body + + +Math_Real_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Math_Real_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-d1af42a7d7e76c4420ade784181a8277f8fc28ef.svg b/_images/inheritance-d1af42a7d7e76c4420ade784181a8277f8fc28ef.svg new file mode 100644 index 000000000..8c688c162 --- /dev/null +++ b/_images/inheritance-d1af42a7d7e76c4420ade784181a8277f8fc28ef.svg @@ -0,0 +1,14 @@ + + +inheritanceeb991d8a4d + + +ProcedureCallMixin + + +ProcedureCallMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-d21fe96d0e34a8161c079d76b9a2093ecbe8d689.svg b/_images/inheritance-d21fe96d0e34a8161c079d76b9a2093ecbe8d689.svg new file mode 100644 index 000000000..22bb1fb7a --- /dev/null +++ b/_images/inheritance-d21fe96d0e34a8161c079d76b9a2093ecbe8d689.svg @@ -0,0 +1,74 @@ + + +inheritanced82a32f0fb + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +LessThanExpression + + +LessThanExpression + + + + + +RelationalExpression->LessThanExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-d3612ce7fb393f59653e7e20da14c9e6dff9532b.svg b/_images/inheritance-d3612ce7fb393f59653e7e20da14c9e6dff9532b.svg new file mode 100644 index 000000000..5ea9f9217 --- /dev/null +++ b/_images/inheritance-d3612ce7fb393f59653e7e20da14c9e6dff9532b.svg @@ -0,0 +1,74 @@ + + +inheritancea570bd9fda + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +GreaterThanExpression + + +GreaterThanExpression + + + + + +RelationalExpression->GreaterThanExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-d368ff9dd2bfc3bef92c3151d0dcb29fe45fbf3f.svg b/_images/inheritance-d368ff9dd2bfc3bef92c3151d0dcb29fe45fbf3f.svg new file mode 100644 index 000000000..e03ab886e --- /dev/null +++ b/_images/inheritance-d368ff9dd2bfc3bef92c3151d0dcb29fe45fbf3f.svg @@ -0,0 +1,119 @@ + + +inheritance9005ca401a + + +AssignmentMixin + + +AssignmentMixin + + + + + +SignalAssignmentMixin + + +SignalAssignmentMixin + + + + + +AssignmentMixin->SignalAssignmentMixin + + + + + +ConcurrentSelectedSignalAssignment + + +ConcurrentSelectedSignalAssignment + + + + + +ConcurrentSignalAssignment + + +ConcurrentSignalAssignment + + + + + +ConcurrentSignalAssignment->ConcurrentSelectedSignalAssignment + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->ConcurrentSignalAssignment + + + + + +SignalAssignmentMixin->ConcurrentSignalAssignment + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-d3e97cb9eb47447513d9622349da1a84f3b4c220.svg b/_images/inheritance-d3e97cb9eb47447513d9622349da1a84f3b4c220.svg new file mode 100644 index 000000000..31ca47d77 --- /dev/null +++ b/_images/inheritance-d3e97cb9eb47447513d9622349da1a84f3b4c220.svg @@ -0,0 +1,29 @@ + + +inheritancee7a3147e12 + + +AssociationItem + + +AssociationItem + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AssociationItem + + + + + \ No newline at end of file diff --git a/_images/inheritance-d42643367840d1f46bf8c13b301f8353a84c621a.svg b/_images/inheritance-d42643367840d1f46bf8c13b301f8353a84c621a.svg new file mode 100644 index 000000000..7d37275ff --- /dev/null +++ b/_images/inheritance-d42643367840d1f46bf8c13b301f8353a84c621a.svg @@ -0,0 +1,44 @@ + + +inheritance0376ade860 + + +Document + + +Document + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Document + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Document + + + + + \ No newline at end of file diff --git a/_images/inheritance-d5b3965bf88a513a3beb9e28d5592596229d6bc5.svg b/_images/inheritance-d5b3965bf88a513a3beb9e28d5592596229d6bc5.svg new file mode 100644 index 000000000..673fad877 --- /dev/null +++ b/_images/inheritance-d5b3965bf88a513a3beb9e28d5592596229d6bc5.svg @@ -0,0 +1,59 @@ + + +inheritance637d0c3895 + + +BaseChoice + + +BaseChoice + + + + + +SequentialChoice + + +SequentialChoice + + + + + +BaseChoice->SequentialChoice + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseChoice + + + + + +IndexedChoice + + +IndexedChoice + + + + + +SequentialChoice->IndexedChoice + + + + + \ No newline at end of file diff --git a/_images/inheritance-d63a0440aadf44d7a1d159484a7c7bf9795c68b1.svg b/_images/inheritance-d63a0440aadf44d7a1d159484a7c7bf9795c68b1.svg new file mode 100644 index 000000000..d696795fb --- /dev/null +++ b/_images/inheritance-d63a0440aadf44d7a1d159484a7c7bf9795c68b1.svg @@ -0,0 +1,59 @@ + + +inheritancef6e94dce00 + + +Alias + + +Alias + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Alias + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Alias + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Alias + + + + + \ No newline at end of file diff --git a/_images/inheritance-d72a76fd96f5a87915fabced42aebc777c1254cb.svg b/_images/inheritance-d72a76fd96f5a87915fabced42aebc777c1254cb.svg new file mode 100644 index 000000000..25bb9d951 --- /dev/null +++ b/_images/inheritance-d72a76fd96f5a87915fabced42aebc777c1254cb.svg @@ -0,0 +1,119 @@ + + +inheritance3c3ffed631 + + +AssertStatementMixin + + +AssertStatementMixin + + + + + +SequentialAssertStatement + + +SequentialAssertStatement + + + + + +AssertStatementMixin->SequentialAssertStatement + + + + + +ReportStatementMixin + + +ReportStatementMixin + + + + + +ReportStatementMixin->AssertStatementMixin + + + + + +ConditionalMixin + + +ConditionalMixin + + + + + +ConditionalMixin->AssertStatementMixin + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +SequentialStatement->SequentialAssertStatement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-d8ac8ca80ebda529446c124d91c007878673f72f.svg b/_images/inheritance-d8ac8ca80ebda529446c124d91c007878673f72f.svg new file mode 100644 index 000000000..6898e6a96 --- /dev/null +++ b/_images/inheritance-d8ac8ca80ebda529446c124d91c007878673f72f.svg @@ -0,0 +1,164 @@ + + +inheritance1151c7a813 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +PackageBody + + +PackageBody + + + + + +ConcurrentDeclarationRegionMixin->PackageBody + + + + + +DesignUnit + + +DesignUnit + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->PackageBody + + + + + +Numeric_Bit_Body + + +Numeric_Bit_Body + + + + + +PredefinedPackageBody + + +PredefinedPackageBody + + + + + +PredefinedPackageBody->Numeric_Bit_Body + + + + + +PackageBody->PredefinedPackageBody + + + + + +SecondaryUnit->PackageBody + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackageBody + + + + + \ No newline at end of file diff --git a/_images/inheritance-d903ef658d7758c61a36d25868b231ec570fc6a2.svg b/_images/inheritance-d903ef658d7758c61a36d25868b231ec570fc6a2.svg new file mode 100644 index 000000000..af979090a --- /dev/null +++ b/_images/inheritance-d903ef658d7758c61a36d25868b231ec570fc6a2.svg @@ -0,0 +1,164 @@ + + +inheritance53db814612 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +Package + + +Package + + + + + +ConcurrentDeclarationRegionMixin->Package + + + + + +DesignUnit + + +DesignUnit + + + + + +PrimaryUnit + + +PrimaryUnit + + + + + +DesignUnit->PrimaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Package + + + + + +Fixed_Generic_Pkg + + +Fixed_Generic_Pkg + + + + + +PredefinedPackage + + +PredefinedPackage + + + + + +PredefinedPackage->Fixed_Generic_Pkg + + + + + +Package->PredefinedPackage + + + + + +PrimaryUnit->Package + + + + + +PredefinedPackageMixin + + +PredefinedPackageMixin + + + + + +PredefinedPackageMixin->PredefinedPackage + + + + + \ No newline at end of file diff --git a/_images/inheritance-d90dc30dd4ce21c1a049bc486de4ee098c832eb9.svg b/_images/inheritance-d90dc30dd4ce21c1a049bc486de4ee098c832eb9.svg new file mode 100644 index 000000000..72ee342bb --- /dev/null +++ b/_images/inheritance-d90dc30dd4ce21c1a049bc486de4ee098c832eb9.svg @@ -0,0 +1,89 @@ + + +inheritance4391dd6bc3 + + +ConcurrentProcedureCall + + +ConcurrentProcedureCall + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->ConcurrentProcedureCall + + + + + +ProcedureCallMixin + + +ProcedureCallMixin + + + + + +ProcedureCallMixin->ConcurrentProcedureCall + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-da2abda11b0d0f1e9facab8bfe4a1ec4fce74010.svg b/_images/inheritance-da2abda11b0d0f1e9facab8bfe4a1ec4fce74010.svg new file mode 100644 index 000000000..f30352ca4 --- /dev/null +++ b/_images/inheritance-da2abda11b0d0f1e9facab8bfe4a1ec4fce74010.svg @@ -0,0 +1,14 @@ + + +inheritancea610ef3e4f + + +WithDefaultExpressionMixin + + +WithDefaultExpressionMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-dadff9c7392e0a2076776984597fac6890841746.svg b/_images/inheritance-dadff9c7392e0a2076776984597fac6890841746.svg new file mode 100644 index 000000000..cece66577 --- /dev/null +++ b/_images/inheritance-dadff9c7392e0a2076776984597fac6890841746.svg @@ -0,0 +1,29 @@ + + +inheritancee5a4c3d267 + + +IndexedObjectOrFunctionCallSymbol + + +IndexedObjectOrFunctionCallSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->IndexedObjectOrFunctionCallSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-dcc95b95b22e2f846d143a5f9e159b9642c015b2.svg b/_images/inheritance-dcc95b95b22e2f846d143a5f9e159b9642c015b2.svg new file mode 100644 index 000000000..61e5ba736 --- /dev/null +++ b/_images/inheritance-dcc95b95b22e2f846d143a5f9e159b9642c015b2.svg @@ -0,0 +1,89 @@ + + +inheritancecb50301fcb + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +RelationalExpression + + +RelationalExpression + + + + + +BinaryExpression->RelationalExpression + + + + + +MatchingGreaterThanExpression + + +MatchingGreaterThanExpression + + + + + +MatchingRelationalExpression + + +MatchingRelationalExpression + + + + + +MatchingRelationalExpression->MatchingGreaterThanExpression + + + + + +RelationalExpression->MatchingRelationalExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-df368d1ef7b7ff331f8f98fb98734cbbbc70f470.svg b/_images/inheritance-df368d1ef7b7ff331f8f98fb98734cbbbc70f470.svg new file mode 100644 index 000000000..f19b23558 --- /dev/null +++ b/_images/inheritance-df368d1ef7b7ff331f8f98fb98734cbbbc70f470.svg @@ -0,0 +1,44 @@ + + +inheritanceae258c7d90 + + +AttributeSpecification + + +AttributeSpecification + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AttributeSpecification + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->AttributeSpecification + + + + + \ No newline at end of file diff --git a/_images/inheritance-dfbdaf913d1b75b71e7093f40dc0301f2d93b93e.svg b/_images/inheritance-dfbdaf913d1b75b71e7093f40dc0301f2d93b93e.svg new file mode 100644 index 000000000..0425d9251 --- /dev/null +++ b/_images/inheritance-dfbdaf913d1b75b71e7093f40dc0301f2d93b93e.svg @@ -0,0 +1,44 @@ + + +inheritance45b27b47af + + +AggregateElement + + +AggregateElement + + + + + +OthersAggregateElement + + +OthersAggregateElement + + + + + +AggregateElement->OthersAggregateElement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AggregateElement + + + + + \ No newline at end of file diff --git a/_images/inheritance-e100ccfb9deb41d7d112d1be0508347a7eb5f7f2.svg b/_images/inheritance-e100ccfb9deb41d7d112d1be0508347a7eb5f7f2.svg new file mode 100644 index 000000000..a54c500dd --- /dev/null +++ b/_images/inheritance-e100ccfb9deb41d7d112d1be0508347a7eb5f7f2.svg @@ -0,0 +1,89 @@ + + +inheritanced26fbde147 + + +BaseCase + + +BaseCase + + + + + +ConcurrentCase + + +ConcurrentCase + + + + + +BaseCase->ConcurrentCase + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseCase + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->ConcurrentCase + + + + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +ConcurrentDeclarationRegionMixin->ConcurrentCase + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->ConcurrentCase + + + + + \ No newline at end of file diff --git a/_images/inheritance-e12a05f01f27f2a53e7224bbbec208484c7c96a8.svg b/_images/inheritance-e12a05f01f27f2a53e7224bbbec208484c7c96a8.svg new file mode 100644 index 000000000..8c2514838 --- /dev/null +++ b/_images/inheritance-e12a05f01f27f2a53e7224bbbec208484c7c96a8.svg @@ -0,0 +1,59 @@ + + +inheritance2935b438b8 + + +Attribute + + +Attribute + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Attribute + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Attribute + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->Attribute + + + + + \ No newline at end of file diff --git a/_images/inheritance-e2cea04616869a1b725d08693c6b77de39927d83.svg b/_images/inheritance-e2cea04616869a1b725d08693c6b77de39927d83.svg new file mode 100644 index 000000000..f9d22e8dd --- /dev/null +++ b/_images/inheritance-e2cea04616869a1b725d08693c6b77de39927d83.svg @@ -0,0 +1,59 @@ + + +inheritance323c0c35c6 + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +Statement + + +Statement + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + +SequentialStatement + + +SequentialStatement + + + + + +Statement->SequentialStatement + + + + + \ No newline at end of file diff --git a/_images/inheritance-e2e0484f1898a31e5535a275be3524de888958ad.svg b/_images/inheritance-e2e0484f1898a31e5535a275be3524de888958ad.svg new file mode 100644 index 000000000..521a0ea18 --- /dev/null +++ b/_images/inheritance-e2e0484f1898a31e5535a275be3524de888958ad.svg @@ -0,0 +1,44 @@ + + +inheritance83e8eeb812 + + +DesignUnitKind + + +DesignUnitKind + + + + + +Flag + + +Flag + + + + + +Flag->DesignUnitKind + + + + + +Enum + + +Enum + + + + + +Enum->Flag + + + + + \ No newline at end of file diff --git a/_images/inheritance-e359c1b278bf257e439118e8e47c15ba69924884.svg b/_images/inheritance-e359c1b278bf257e439118e8e47c15ba69924884.svg new file mode 100644 index 000000000..937728ed9 --- /dev/null +++ b/_images/inheritance-e359c1b278bf257e439118e8e47c15ba69924884.svg @@ -0,0 +1,44 @@ + + +inheritance08a5c6a270 + + +AssociationItem + + +AssociationItem + + + + + +PortAssociationItem + + +PortAssociationItem + + + + + +AssociationItem->PortAssociationItem + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->AssociationItem + + + + + \ No newline at end of file diff --git a/_images/inheritance-e451416983bd770311cd571a27911c91f424226e.svg b/_images/inheritance-e451416983bd770311cd571a27911c91f424226e.svg new file mode 100644 index 000000000..099a6a872 --- /dev/null +++ b/_images/inheritance-e451416983bd770311cd571a27911c91f424226e.svg @@ -0,0 +1,59 @@ + + +inheritancec955d426d4 + + +BaseExpression + + +BaseExpression + + + + + +UnaryExpression + + +UnaryExpression + + + + + +BaseExpression->UnaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +UnaryXorExpression + + +UnaryXorExpression + + + + + +UnaryExpression->UnaryXorExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-e4ad9f6e1a0419558402f058a2196fbdfdc1ccae.svg b/_images/inheritance-e4ad9f6e1a0419558402f058a2196fbdfdc1ccae.svg new file mode 100644 index 000000000..f3ed596a7 --- /dev/null +++ b/_images/inheritance-e4ad9f6e1a0419558402f058a2196fbdfdc1ccae.svg @@ -0,0 +1,29 @@ + + +inheritance043e87d024 + + +GenericInstantiationMixin + + +GenericInstantiationMixin + + + + + +SubprogramInstantiationMixin + + +SubprogramInstantiationMixin + + + + + +GenericInstantiationMixin->SubprogramInstantiationMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-e9654bd474ea488c52928a7d5a072e02e9b0041b.svg b/_images/inheritance-e9654bd474ea488c52928a7d5a072e02e9b0041b.svg new file mode 100644 index 000000000..3e21514ba --- /dev/null +++ b/_images/inheritance-e9654bd474ea488c52928a7d5a072e02e9b0041b.svg @@ -0,0 +1,14 @@ + + +inheritance0e69332a06 + + +AssignmentMixin + + +AssignmentMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-ea5f5f801fa42e1fa5cccf1d57a740e7c87d9f42.svg b/_images/inheritance-ea5f5f801fa42e1fa5cccf1d57a740e7c87d9f42.svg new file mode 100644 index 000000000..16cd118ff --- /dev/null +++ b/_images/inheritance-ea5f5f801fa42e1fa5cccf1d57a740e7c87d9f42.svg @@ -0,0 +1,14 @@ + + +inheritance74fa809836 + + +MultipleNamedEntityMixin + + +MultipleNamedEntityMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-eb87df1984f3ff98cead0dd72789006e6bcc00d0.svg b/_images/inheritance-eb87df1984f3ff98cead0dd72789006e6bcc00d0.svg new file mode 100644 index 000000000..0488a056e --- /dev/null +++ b/_images/inheritance-eb87df1984f3ff98cead0dd72789006e6bcc00d0.svg @@ -0,0 +1,14 @@ + + +inheritanced4122256d9 + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + \ No newline at end of file diff --git a/_images/inheritance-ed3040f6b7d4ba15a6d5b3c95889a7c46806b7c0.svg b/_images/inheritance-ed3040f6b7d4ba15a6d5b3c95889a7c46806b7c0.svg new file mode 100644 index 000000000..edd947cb2 --- /dev/null +++ b/_images/inheritance-ed3040f6b7d4ba15a6d5b3c95889a7c46806b7c0.svg @@ -0,0 +1,74 @@ + + +inheritance28708a8651 + + +AscendingRangeExpression + + +AscendingRangeExpression + + + + + +RangeExpression + + +RangeExpression + + + + + +RangeExpression->AscendingRangeExpression + + + + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +BinaryExpression->RangeExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-ef4138a9ef72da499c9afea9df1f21d83a04718b.svg b/_images/inheritance-ef4138a9ef72da499c9afea9df1f21d83a04718b.svg new file mode 100644 index 000000000..08ea04070 --- /dev/null +++ b/_images/inheritance-ef4138a9ef72da499c9afea9df1f21d83a04718b.svg @@ -0,0 +1,119 @@ + + +inheritance39d81afff0 + + +AssignmentMixin + + +AssignmentMixin + + + + + +SignalAssignmentMixin + + +SignalAssignmentMixin + + + + + +AssignmentMixin->SignalAssignmentMixin + + + + + +ConcurrentSignalAssignment + + +ConcurrentSignalAssignment + + + + + +ConcurrentSimpleSignalAssignment + + +ConcurrentSimpleSignalAssignment + + + + + +ConcurrentSignalAssignment->ConcurrentSimpleSignalAssignment + + + + + +ConcurrentStatement + + +ConcurrentStatement + + + + + +ConcurrentStatement->ConcurrentSignalAssignment + + + + + +SignalAssignmentMixin->ConcurrentSignalAssignment + + + + + +Statement + + +Statement + + + + + +Statement->ConcurrentStatement + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->Statement + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Statement + + + + + \ No newline at end of file diff --git a/_images/inheritance-ef6bf8b9d5a8c09f6af9714549a4462c452a23f1.svg b/_images/inheritance-ef6bf8b9d5a8c09f6af9714549a4462c452a23f1.svg new file mode 100644 index 000000000..a5100bc56 --- /dev/null +++ b/_images/inheritance-ef6bf8b9d5a8c09f6af9714549a4462c452a23f1.svg @@ -0,0 +1,59 @@ + + +inheritanced5e64a8b4e + + +BaseExpression + + +BaseExpression + + + + + +BinaryExpression + + +BinaryExpression + + + + + +BaseExpression->BinaryExpression + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +MultiplyingExpression + + +MultiplyingExpression + + + + + +BinaryExpression->MultiplyingExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-ef881bab0f95ca285bcb37fcb15773d81683e269.svg b/_images/inheritance-ef881bab0f95ca285bcb37fcb15773d81683e269.svg new file mode 100644 index 000000000..3d9957917 --- /dev/null +++ b/_images/inheritance-ef881bab0f95ca285bcb37fcb15773d81683e269.svg @@ -0,0 +1,44 @@ + + +inheritance8fa8460949 + + +ModelEntity + + +ModelEntity + + + + + +Name + + +Name + + + + + +ModelEntity->Name + + + + + +SlicedName + + +SlicedName + + + + + +Name->SlicedName + + + + + \ No newline at end of file diff --git a/_images/inheritance-f2b3e948d705393e7568d6c424b2dbc26569b164.svg b/_images/inheritance-f2b3e948d705393e7568d6c424b2dbc26569b164.svg new file mode 100644 index 000000000..7cdaa2f0b --- /dev/null +++ b/_images/inheritance-f2b3e948d705393e7568d6c424b2dbc26569b164.svg @@ -0,0 +1,59 @@ + + +inheritancee06be56031 + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +EnumerationLiteral + + +EnumerationLiteral + + + + + +Literal->EnumerationLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-f349ed7a737975f9f1605e8589a23dc62e790904.svg b/_images/inheritance-f349ed7a737975f9f1605e8589a23dc62e790904.svg new file mode 100644 index 000000000..0085891a0 --- /dev/null +++ b/_images/inheritance-f349ed7a737975f9f1605e8589a23dc62e790904.svg @@ -0,0 +1,29 @@ + + +inheritancefea031ab51 + + +LibraryRegisteredToForeignDesignError + + +LibraryRegisteredToForeignDesignError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->LibraryRegisteredToForeignDesignError + + + + + \ No newline at end of file diff --git a/_images/inheritance-f36c1766a637f434ca8db1d4ad360579fab844cb.svg b/_images/inheritance-f36c1766a637f434ca8db1d4ad360579fab844cb.svg new file mode 100644 index 000000000..1ae895ea8 --- /dev/null +++ b/_images/inheritance-f36c1766a637f434ca8db1d4ad360579fab844cb.svg @@ -0,0 +1,44 @@ + + +inheritance31a1eff5d7 + + +ContextReference + + +ContextReference + + + + + +Reference + + +Reference + + + + + +Reference->ContextReference + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Reference + + + + + \ No newline at end of file diff --git a/_images/inheritance-f42acc26ac84a8f75d324d5a3f64960e8ddf12b8.svg b/_images/inheritance-f42acc26ac84a8f75d324d5a3f64960e8ddf12b8.svg new file mode 100644 index 000000000..a7fca8272 --- /dev/null +++ b/_images/inheritance-f42acc26ac84a8f75d324d5a3f64960e8ddf12b8.svg @@ -0,0 +1,59 @@ + + +inheritance70e456f3aa + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + \ No newline at end of file diff --git a/_images/inheritance-f5b99d6c600ef8b83762b1674ad8d60973c02a29.svg b/_images/inheritance-f5b99d6c600ef8b83762b1674ad8d60973c02a29.svg new file mode 100644 index 000000000..47ef0bf1f --- /dev/null +++ b/_images/inheritance-f5b99d6c600ef8b83762b1674ad8d60973c02a29.svg @@ -0,0 +1,104 @@ + + +inheritancef26d073291 + + +BaseCase + + +BaseCase + + + + + +ConcurrentCase + + +ConcurrentCase + + + + + +BaseCase->ConcurrentCase + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseCase + + + + + +OthersGenerateCase + + +OthersGenerateCase + + + + + +ConcurrentCase->OthersGenerateCase + + + + + +LabeledEntityMixin + + +LabeledEntityMixin + + + + + +LabeledEntityMixin->ConcurrentCase + + + + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +ConcurrentDeclarationRegionMixin->ConcurrentCase + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->ConcurrentCase + + + + + \ No newline at end of file diff --git a/_images/inheritance-f7bbd53076411a8222f91cadac00fba13590da28.svg b/_images/inheritance-f7bbd53076411a8222f91cadac00fba13590da28.svg new file mode 100644 index 000000000..8c0451632 --- /dev/null +++ b/_images/inheritance-f7bbd53076411a8222f91cadac00fba13590da28.svg @@ -0,0 +1,74 @@ + + +inheritance1891a7dbc5 + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +IntegerLiteral + + +IntegerLiteral + + + + + +NumericLiteral + + +NumericLiteral + + + + + +NumericLiteral->IntegerLiteral + + + + + +Literal->NumericLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-f82f736199f217de049dc6c6e3f27d27fc2824d5.svg b/_images/inheritance-f82f736199f217de049dc6c6e3f27d27fc2824d5.svg new file mode 100644 index 000000000..76c778099 --- /dev/null +++ b/_images/inheritance-f82f736199f217de049dc6c6e3f27d27fc2824d5.svg @@ -0,0 +1,14 @@ + + +inheritance13022e8f35 + + +VHDLModelException + + +VHDLModelException + + + + + \ No newline at end of file diff --git a/_images/inheritance-f904cc25473db95bbc1b419139713bfa7acdaf00.svg b/_images/inheritance-f904cc25473db95bbc1b419139713bfa7acdaf00.svg new file mode 100644 index 000000000..37883d68c --- /dev/null +++ b/_images/inheritance-f904cc25473db95bbc1b419139713bfa7acdaf00.svg @@ -0,0 +1,59 @@ + + +inheritance04e4261ed7 + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + +NumericLiteral + + +NumericLiteral + + + + + +Literal->NumericLiteral + + + + + \ No newline at end of file diff --git a/_images/inheritance-f918e6e7d604b9b9bc95e77de55bb495c279dd84.svg b/_images/inheritance-f918e6e7d604b9b9bc95e77de55bb495c279dd84.svg new file mode 100644 index 000000000..129e24b9d --- /dev/null +++ b/_images/inheritance-f918e6e7d604b9b9bc95e77de55bb495c279dd84.svg @@ -0,0 +1,29 @@ + + +inheritance3952958544 + + +Design + + +Design + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Design + + + + + \ No newline at end of file diff --git a/_images/inheritance-f9a5fb45ca313c2b903f906af8fe17d5575e31d7.svg b/_images/inheritance-f9a5fb45ca313c2b903f906af8fe17d5575e31d7.svg new file mode 100644 index 000000000..718aaa660 --- /dev/null +++ b/_images/inheritance-f9a5fb45ca313c2b903f906af8fe17d5575e31d7.svg @@ -0,0 +1,104 @@ + + +inheritance04dca26561 + + +ArrayType + + +ArrayType + + + + + +CompositeType + + +CompositeType + + + + + +CompositeType->ArrayType + + + + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + +FullType->CompositeType + + + + + \ No newline at end of file diff --git a/_images/inheritance-fb173f59138b25f682a577b8b3d9bfc17dd3e1e4.svg b/_images/inheritance-fb173f59138b25f682a577b8b3d9bfc17dd3e1e4.svg new file mode 100644 index 000000000..cb0078a74 --- /dev/null +++ b/_images/inheritance-fb173f59138b25f682a577b8b3d9bfc17dd3e1e4.svg @@ -0,0 +1,104 @@ + + +inheritance07c122af6a + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +Subprogram + + +Subprogram + + + + + +DocumentedEntityMixin->Subprogram + + + + + +Function + + +Function + + + + + +FunctionMethod + + +FunctionMethod + + + + + +Function->FunctionMethod + + + + + +Subprogram->Function + + + + + +MethodMixin + + +MethodMixin + + + + + +MethodMixin->FunctionMethod + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->Subprogram + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->Subprogram + + + + + \ No newline at end of file diff --git a/_images/inheritance-fc603eaa2760afc5edc73af6b45b39a8ba35f77b.svg b/_images/inheritance-fc603eaa2760afc5edc73af6b45b39a8ba35f77b.svg new file mode 100644 index 000000000..b380f9793 --- /dev/null +++ b/_images/inheritance-fc603eaa2760afc5edc73af6b45b39a8ba35f77b.svg @@ -0,0 +1,74 @@ + + +inheritancef87cbe8893 + + +BaseType + + +BaseType + + + + + +FullType + + +FullType + + + + + +BaseType->FullType + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseType + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->BaseType + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->BaseType + + + + + \ No newline at end of file diff --git a/_images/inheritance-fca4a8d922a41c95123aa723cb052a391548e9db.svg b/_images/inheritance-fca4a8d922a41c95123aa723cb052a391548e9db.svg new file mode 100644 index 000000000..c213bf139 --- /dev/null +++ b/_images/inheritance-fca4a8d922a41c95123aa723cb052a391548e9db.svg @@ -0,0 +1,134 @@ + + +inheritance60a498c76a + + +Architecture + + +Architecture + + + + + +SecondaryUnit + + +SecondaryUnit + + + + + +SecondaryUnit->Architecture + + + + + +DesignUnitWithContextMixin + + +DesignUnitWithContextMixin + + + + + +DesignUnitWithContextMixin->Architecture + + + + + +ConcurrentDeclarationRegionMixin + + +ConcurrentDeclarationRegionMixin + + + + + +ConcurrentDeclarationRegionMixin->Architecture + + + + + +ConcurrentStatementsMixin + + +ConcurrentStatementsMixin + + + + + +ConcurrentStatementsMixin->Architecture + + + + + +DesignUnit + + +DesignUnit + + + + + +DesignUnit->SecondaryUnit + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->DesignUnit + + + + + +NamedEntityMixin + + +NamedEntityMixin + + + + + +NamedEntityMixin->DesignUnit + + + + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +DocumentedEntityMixin->DesignUnit + + + + + \ No newline at end of file diff --git a/_images/inheritance-fd9bbd49f9a291625ad0d0ee07a9d76f866aa014.svg b/_images/inheritance-fd9bbd49f9a291625ad0d0ee07a9d76f866aa014.svg new file mode 100644 index 000000000..9fc2bef47 --- /dev/null +++ b/_images/inheritance-fd9bbd49f9a291625ad0d0ee07a9d76f866aa014.svg @@ -0,0 +1,29 @@ + + +inheritance7732f6cfa7 + + +EntityExistsInLibraryError + + +EntityExistsInLibraryError + + + + + +VHDLModelException + + +VHDLModelException + + + + + +VHDLModelException->EntityExistsInLibraryError + + + + + \ No newline at end of file diff --git a/_images/inheritance-fe288618b1a1b50f05040118c3c7acb9c32d52fe.svg b/_images/inheritance-fe288618b1a1b50f05040118c3c7acb9c32d52fe.svg new file mode 100644 index 000000000..9d3f15047 --- /dev/null +++ b/_images/inheritance-fe288618b1a1b50f05040118c3c7acb9c32d52fe.svg @@ -0,0 +1,44 @@ + + +inheritance173233d03b + + +BaseExpression + + +BaseExpression + + + + + +Literal + + +Literal + + + + + +BaseExpression->Literal + + + + + +ModelEntity + + +ModelEntity + + + + + +ModelEntity->BaseExpression + + + + + \ No newline at end of file diff --git a/_images/inheritance-ff58ac86cffc5ffea8d0648417f945c887d0ee2c.svg b/_images/inheritance-ff58ac86cffc5ffea8d0648417f945c887d0ee2c.svg new file mode 100644 index 000000000..b134e0240 --- /dev/null +++ b/_images/inheritance-ff58ac86cffc5ffea8d0648417f945c887d0ee2c.svg @@ -0,0 +1,29 @@ + + +inheritance681660cbd4 + + +ConfigurationInstantiationSymbol + + +ConfigurationInstantiationSymbol + + + + + +Symbol + + +Symbol + + + + + +Symbol->ConfigurationInstantiationSymbol + + + + + \ No newline at end of file diff --git a/_images/inheritance-ff901af0f75bc136cc984f127d9ad99093a08b58.svg b/_images/inheritance-ff901af0f75bc136cc984f127d9ad99093a08b58.svg new file mode 100644 index 000000000..e2cc704b8 --- /dev/null +++ b/_images/inheritance-ff901af0f75bc136cc984f127d9ad99093a08b58.svg @@ -0,0 +1,59 @@ + + +inheritance85c96b3ccc + + +DocumentedEntityMixin + + +DocumentedEntityMixin + + + + + +InterfaceItemMixin + + +InterfaceItemMixin + + + + + +DocumentedEntityMixin->InterfaceItemMixin + + + + + +PortInterfaceItemMixin + + +PortInterfaceItemMixin + + + + + +InterfaceItemMixin->PortInterfaceItemMixin + + + + + +InterfaceItemWithModeMixin + + +InterfaceItemWithModeMixin + + + + + +InterfaceItemWithModeMixin->PortInterfaceItemMixin + + + + + \ No newline at end of file diff --git a/_images/logo.svg b/_images/logo.svg new file mode 100644 index 000000000..62d264f79 --- /dev/null +++ b/_images/logo.svg @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/_modules/index.html b/_modules/index.html new file mode 100644 index 000000000..d22de4d96 --- /dev/null +++ b/_modules/index.html @@ -0,0 +1,171 @@ + + + + + + Overview: module code — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ + +
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel.html b/_modules/pyVHDLModel.html new file mode 100644 index 000000000..9f92931a3 --- /dev/null +++ b/_modules/pyVHDLModel.html @@ -0,0 +1,2910 @@ + + + + + + pyVHDLModel — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+**An abstract VHDL language model.**
+
+This package provides a unified abstract language model for VHDL. Projects reading from source files can derive own
+classes and implement additional logic to create a concrete language model for their tools.
+
+Projects consuming pre-processed VHDL data (parsed, analyzed or elaborated) can build higher level features and services
+on such a model, while supporting multiple frontends.
+
+.. admonition:: Copyright Information
+
+   :copyright: Copyright 2017-2024 Patrick Lehmann - Bötzingen, Germany
+   :copyright: Copyright 2016-2017 Patrick Lehmann - Dresden, Germany
+   :license: Apache License, Version 2.0
+"""
+__author__ =    "Patrick Lehmann"
+__email__ =     "Paebbels@gmail.com"
+__copyright__ = "2016-2024, Patrick Lehmann"
+__license__ =   "Apache License, Version 2.0"
+__version__ =   "0.29.0"
+
+
+from enum                      import unique, Enum, Flag, auto
+from pathlib                   import Path
+from sys                       import version_info
+
+from typing                    import Union, Dict, cast, List, Generator, Optional as Nullable
+
+from pyTooling.Common          import getFullyQualifiedName
+from pyTooling.Decorators      import export, readonly
+from pyTooling.Graph           import Graph, Vertex, Edge
+
+from pyVHDLModel.Exception     import VHDLModelException
+from pyVHDLModel.Exception     import LibraryExistsInDesignError, LibraryRegisteredToForeignDesignError, LibraryNotRegisteredError, EntityExistsInLibraryError
+from pyVHDLModel.Exception     import ArchitectureExistsInLibraryError, PackageExistsInLibraryError, PackageBodyExistsError, ConfigurationExistsInLibraryError
+from pyVHDLModel.Exception     import ContextExistsInLibraryError, ReferencedLibraryNotExistingError
+from pyVHDLModel.Base          import ModelEntity, NamedEntityMixin, MultipleNamedEntityMixin, DocumentedEntityMixin
+from pyVHDLModel.Expression    import UnaryExpression, BinaryExpression, TernaryExpression
+from pyVHDLModel.Namespace     import Namespace
+from pyVHDLModel.Object        import Obj, Signal, Constant, DeferredConstant
+from pyVHDLModel.Symbol        import PackageReferenceSymbol, AllPackageMembersReferenceSymbol, PackageMemberReferenceSymbol, SimpleObjectOrFunctionCallSymbol
+from pyVHDLModel.Concurrent    import EntityInstantiation, ComponentInstantiation, ConfigurationInstantiation
+from pyVHDLModel.DesignUnit    import DesignUnit, PrimaryUnit, Architecture, PackageBody, Context, Entity, Configuration, Package
+from pyVHDLModel.PSLModel      import VerificationUnit, VerificationProperty, VerificationMode
+from pyVHDLModel.Instantiation import PackageInstantiation
+from pyVHDLModel.Type          import IntegerType, PhysicalType, ArrayType, RecordType
+
+
+
+[docs] +@export +@unique +class VHDLVersion(Enum): + """ + An enumeration for all possible version numbers for VHDL and VHDL-AMS. + + A version can be given as integer or string and is represented as a unified + enumeration value. + + This enumeration supports compare operators. + """ + + Any = -1 #: Any + VHDL87 = 87 #: VHDL-1987 + VHDL93 = 93 #: VHDL-1993 + AMS93 = 1993 #: VHDL-AMS-1993 + AMS99 = 1999 #: VHDL-AMS-1999 + VHDL2000 = 2000 #: VHDL-2000 + VHDL2002 = 2002 #: VHDL-2002 + VHDL2008 = 2008 #: VHDL-2008 + AMS2017 = 2017 #: VHDL-AMS-2017 + VHDL2019 = 2019 #: VHDL-2019 + Latest = 10000 #: Latest VHDL (2019) + + __VERSION_MAPPINGS__: Dict[Union[int, str], Enum] = { + -1: Any, + 87: VHDL87, + 93: VHDL93, + # 93: AMS93, + 99: AMS99, + 0: VHDL2000, + 2: VHDL2002, + 8: VHDL2008, + 17: AMS2017, + 19: VHDL2019, + 1987: VHDL87, + # 1993: VHDL93, + 1993: AMS93, + 1999: AMS99, + 2000: VHDL2000, + 2002: VHDL2002, + 2008: VHDL2008, + 2017: AMS2017, + 2019: VHDL2019, + 10000: Latest, + "Any": Any, + "87": VHDL87, + "93": VHDL93, + # "93": AMS93, + "99": AMS99, + "00": VHDL2000, + "02": VHDL2002, + "08": VHDL2008, + "17": AMS2017, + "19": VHDL2019, + "1987": VHDL87, + # "1993": VHDL93, + "1993": AMS93, + "1999": AMS99, + "2000": VHDL2000, + "2002": VHDL2002, + "2008": VHDL2008, + "2017": AMS2017, + "2019": VHDL2019, + "Latest": Latest, + } #: Dictionary of VHDL and VHDL-AMS year codes variants as integer and strings for mapping to unique enum values. + +
+[docs] + def __init__(self, *_) -> None: + """Patch the embedded MAP dictionary""" + for k, v in self.__class__.__VERSION_MAPPINGS__.items(): + if (not isinstance(v, self.__class__)) and (v == self.value): + self.__class__.__VERSION_MAPPINGS__[k] = self
+ + +
+[docs] + @classmethod + def Parse(cls, value: Union[int, str]) -> "VHDLVersion": + """ + Parses a VHDL or VHDL-AMS year code as integer or string to an enum value. + + :param value: VHDL/VHDL-AMS year code. + :returns: Enumeration value. + :raises ValueError: If the year code is not recognized. + """ + try: + return cls.__VERSION_MAPPINGS__[value] + except KeyError: + raise ValueError(f"Value '{value!s}' cannot be parsed to member of {cls.__name__}.")
+ + +
+[docs] + def __lt__(self, other: Any) -> bool: + """ + Compare two VHDL/VHDL-AMS versions if the version is less than the second operand. + + :param other: Parameter to compare against. + :returns: True if version is less than the second operand. + :raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`. + """ + if isinstance(other, VHDLVersion): + return self.value < other.value + else: + raise TypeError("Second operand is not of type 'VHDLVersion'.")
+ + +
+[docs] + def __le__(self, other: Any) -> bool: + """ + Compare two VHDL/VHDL-AMS versions if the version is less or equal than the second operand. + + :param other: Parameter to compare against. + :returns: True if version is less or equal than the second operand. + :raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`. + """ + if isinstance(other, VHDLVersion): + return self.value <= other.value + else: + raise TypeError("Second operand is not of type 'VHDLVersion'.")
+ + +
+[docs] + def __gt__(self, other: Any) -> bool: + """ + Compare two VHDL/VHDL-AMS versions if the version is greater than the second operand. + + :param other: Parameter to compare against. + :returns: True if version is greater than the second operand. + :raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`. + """ + if isinstance(other, VHDLVersion): + return self.value > other.value + else: + raise TypeError("Second operand is not of type 'VHDLVersion'.")
+ + +
+[docs] + def __ge__(self, other: Any) -> bool: + """ + Compare two VHDL/VHDL-AMS versions if the version is greater or equal than the second operand. + + :param other: Parameter to compare against. + :returns: True if version is greater or equal than the second operand. + :raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`. + """ + if isinstance(other, VHDLVersion): + return self.value >= other.value + else: + raise TypeError("Second operand is not of type 'VHDLVersion'.")
+ + +
+[docs] + def __ne__(self, other: Any) -> bool: + """ + Compare two VHDL/VHDL-AMS versions if the version is unequal to the second operand. + + :param other: Parameter to compare against. + :returns: True if version is unequal to the second operand. + :raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`. + """ + if isinstance(other, VHDLVersion): + return self.value != other.value + else: + raise TypeError("Second operand is not of type 'VHDLVersion'.")
+ + +
+[docs] + def __eq__(self, other: Any) -> bool: + """ + Compare two VHDL/VHDL-AMS versions if the version is equal to the second operand. + + :param other: Parameter to compare against. + :returns: True if version is equal to the second operand. + :raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`. + """ + if isinstance(other, VHDLVersion): + if (self is self.__class__.Any) or (other is self.__class__.Any): + return True + else: + return self.value == other.value + else: + raise TypeError("Second operand is not of type 'VHDLVersion'.")
+ + + @readonly + def IsVHDL(self) -> bool: + """ + Checks if the version is a VHDL (not VHDL-AMS) version. + + :returns: True if version is a VHDL version. + """ + return self in (self.VHDL87, self.VHDL93, self.VHDL2002, self.VHDL2008, self.VHDL2019) + + @readonly + def IsAMS(self) -> bool: + """ + Checks if the version is a VHDL-AMS (not VHDL) version. + + :returns: True if version is a VHDL-AMS version. + """ + return self in (self.AMS93, self.AMS99, self.AMS2017) + +
+[docs] + def __str__(self) -> str: + """ + Formats the VHDL version to pattern ``VHDL'xx`` or in case of VHDL-AMS to ``VHDL-AMS'xx``. + + :return: Formatted VHDL/VHDL-AMS version. + """ + if self.value == self.Any.value: + return "VHDL'Any" + elif self.value == self.Latest.value: + return "VHDL'Latest" + + year = str(self.value)[-2:] + if self.IsVHDL: + return f"VHDL'{year}" + else: + return f"VHDL-AMS'{year}"
+ + +
+[docs] + def __repr__(self) -> str: + """ + Formats the VHDL/VHDL-AMS version to pattern ``xxxx``. + + :return: Formatted VHDL/VHDL-AMS version. + """ + if self.value == self.Any.value: + return "Any" + elif self.value == self.Latest.value: + return "Latest" + else: + return str(self.value)
+
+ + + +
+[docs] +@export +@unique +class ObjectClass(Enum): + """ + An ``ObjectClass`` is an enumeration and represents an object's class (``constant``, ``signal``, ...). + + In case no *object class* is defined, ``Default`` is used, so the *object class* is inferred from context. + """ + + Default = 0 #: Object class not defined, thus it's context dependent. + Constant = 1 #: Constant + Variable = 2 #: Variable + Signal = 3 #: Signal + File = 4 #: File + Type = 5 #: Type + # FIXME: Package? + Procedure = 6 #: Procedure + Function = 7 #: Function + +
+[docs] + def __str__(self) -> str: + """ + Formats the object class. + + :return: Formatted object class. + """ + return ("", "constant", "variable", "signal", "file", "type", "procedure", "function")[cast(int, self.value)] # TODO: check performance
+
+ + + +
+[docs] +@export +@unique +class DesignUnitKind(Flag): + """ + A ``DesignUnitKind`` is an enumeration and represents the kind of design unit (``Entity``, ``Architecture``, ...). + + """ + Context = auto() #: Context + Package = auto() #: Package + PackageBody = auto() #: Package Body + Entity = auto() #: Entity + Architecture = auto() #: Architecture + Configuration = auto() #: Configuration + + Primary = Context | Configuration | Entity | Package #: List of primary design units. + Secondary = PackageBody | Architecture #: List of secondary design units. + WithContext = Configuration | Package | Entity | PackageBody | Architecture #: List of design units with a context. + WithDeclaredItems = Package | Entity | PackageBody | Architecture #: List of design units having a declaration region. + + All = Primary | Secondary #: List of all design units.
+ + + +
+[docs] +@export +@unique +class DependencyGraphVertexKind(Flag): + """ + A ``DependencyGraphVertexKind`` is an enumeration and represents the kind of vertex in the dependency graph. + """ + Document = auto() #: A document (VHDL source file). + Library = auto() #: A VHDL library. + + Context = auto() #: A context design unit. + Package = auto() #: A package design unit. + PackageBody = auto() #: A package body design unit. + Entity = auto() #: A entity design unit. + Architecture = auto() #: A architecture design unit. + Component = auto() #: A VHDL component. + Configuration = auto() #: A configuration design unit.
+ + + +
+[docs] +@export +@unique +class DependencyGraphEdgeKind(Flag): + """ + A ``DependencyGraphEdgeKind`` is an enumeration and represents the kind of edge in the dependency graph. + """ + Document = auto() + Library = auto() + Context = auto() + Package = auto() + Entity = auto() + # Architecture = auto() + Configuration = auto() + Component = auto() + + DeclaredIn = auto() + Order = auto() + Reference = auto() + Implementation = auto() + Instantiation = auto() + + SourceFile = Document | DeclaredIn + CompileOrder = Document | Order + + LibraryClause = Library | Reference + UseClause = Package | Reference + ContextReference = Context | Reference + + EntityImplementation = Entity | Implementation + PackageImplementation = Package | Implementation + + EntityInstantiation = Entity | Instantiation + ComponentInstantiation = Component | Instantiation + ConfigurationInstantiation = Configuration | Instantiation
+ + + +
+[docs] +@export +@unique +class ObjectGraphVertexKind(Flag): + """ + A ``ObjectGraphVertexKind`` is an enumeration and represents the kind of vertex in the object graph. + """ + Type = auto() + Subtype = auto() + + Constant = auto() + DeferredConstant = auto() + Variable = auto() + Signal = auto() + File = auto() + + Alias = auto()
+ + + +
+[docs] +@export +@unique +class ObjectGraphEdgeKind(Flag): + """ + A ``ObjectGraphEdgeKind`` is an enumeration and represents the kind of edge in the object graph. + """ + BaseType = auto() + Subtype = auto() + + ReferenceInExpression = auto()
+ + + +
+[docs] +@export +class Design(ModelEntity): + """ + A ``Design`` represents set of VHDL libraries as well as all loaded and analysed source files (see :class:`~pyVHDLModel.Document`). + + It's the root of this code document-object-model (CodeDOM). It contains at least one VHDL library (see :class:`~pyVHDLModel.Library`). When the design is + analysed (see :meth:`Analyze`), multiple graph data structures will be created and populated with vertices and edges. As a first result, the design's compile + order and hierarchy can be iterated. As a second result, the design's *top-level* is identified and referenced from the design (see :attr:`TopLevel`). + + The *design* contains references to the following graphs: + + * :attr:`DependencyGraph` + * :attr:`CompileOrderGraph` + * :attr:`HierarchyGraph` + * :attr:`ObjectGraph` + """ + _name: Nullable[str] #: Name of the design + _libraries: Dict[str, 'Library'] #: List of all libraries defined for a design. + _documents: List['Document'] #: List of all documents loaded for a design. + _dependencyGraph: Graph[None, None, None, None, None, None, None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None] #: The graph of all dependencies in the designs. + _compileOrderGraph: Graph[None, None, None, None, None, None, None, None, None, 'Document', None, None, None, None, None, None, None, None, None, None, None, None, None] #: A graph derived from dependency graph containing the order of documents for compilation. + _hierarchyGraph: Graph[None, None, None, None, None, None, None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None] #: A graph derived from dependency graph containing the design hierarchy. + _objectGraph: Graph[None, None, None, None, None, None, None, None, str, Obj, None, None, None, None, None, None, None, None, None, None, None, None, None] #: The graph of all types and objects in the design. + _toplevel: Union[Entity, Configuration] #: When computed, the toplevel design unit is cached in this field. + +
+[docs] + def __init__(self, name: Nullable[str] = None) -> None: + """ + Initializes a VHDL design. + + :param name: Name of the design. + """ + super().__init__() + + self._name = name + self._libraries = {} + self._documents = [] + + self._compileOrderGraph = Graph() + self._dependencyGraph = Graph() + self._hierarchyGraph = Graph() + self._objectGraph = Graph() + self._toplevel = None
+ + + @readonly + def Libraries(self) -> Dict[str, 'Library']: + """ + Read-only property to access the dictionary of library names and VHDL libraries (:attr:`_libraries`). + + :returns: A dictionary of library names and VHDL libraries. + """ + return self._libraries + + @readonly + def Documents(self) -> List['Document']: + """ + Read-only property to access the list of all documents (VHDL source files) loaded for this design (:attr:`_documents`). + + :returns: A list of all documents. + """ + return self._documents + + @readonly + def CompileOrderGraph(self) -> Graph: + """ + Read-only property to access the compile-order graph (:attr:`_compileOrderGraph`). + + :returns: Reference to the compile-order graph. + """ + return self._compileOrderGraph + + @readonly + def DependencyGraph(self) -> Graph: + """ + Read-only property to access the dependency graph (:attr:`_dependencyGraph`). + + :returns: Reference to the dependency graph. + """ + return self._dependencyGraph + + @readonly + def HierarchyGraph(self) -> Graph: + """ + Read-only property to access the hierarchy graph (:attr:`_hierarchyGraph`). + + :returns: Reference to the hierarchy graph. + """ + return self._hierarchyGraph + + @readonly + def ObjectGraph(self) -> Graph: + """ + Read-only property to access the object graph (:attr:`_objectGraph`). + + :returns: Reference to the object graph. + """ + return self._objectGraph + + @readonly + def TopLevel(self) -> Union[Entity, Configuration]: + """ + Read-only property to access the design's *top-level* (:attr:`_toplevel`). + + When called the first time, the hierarchy graph is checked for its root elements. When there is only one root element in the graph, a new field ``toplevel`` + is added to :attr:`_hierarchyGraph` referencing that single element. In addition, the result is cached in :attr:`_toplevel`. + + :returns: Reference to the design's *top-level*. + :raises VHDLModelException: If the hierarchy graph is not yet computed from dependency graph. + :raises VHDLModelException: If there is more than one *top-level*. + """ + # Check for cached result + if self._toplevel is not None: + return self._toplevel + + if self._hierarchyGraph.EdgeCount == 0: + raise VHDLModelException(f"Hierarchy is not yet computed from dependency graph.") + + roots = tuple(self._hierarchyGraph.IterateRoots()) + if len(roots) == 1: + toplevel = roots[0] + self._hierarchyGraph["toplevel"] = toplevel + self._toplevel = toplevel.Value + + return toplevel.Value + else: + raise VHDLModelException(f"Found more than one toplevel: {', '.join(roots)}") + +
+[docs] + def LoadStdLibrary(self) -> 'Library': + """ + Load the predefined VHDL library ``std`` into the design. + + This will create a virtual source code file ``std.vhdl`` and register VHDL design units of library ``std`` to that file. + + :returns: The library object of library ``std``. + """ + from pyVHDLModel.STD import Std + + doc = Document(Path("std.vhdl"), parent=self) + + library = Std() + for designUnit in library.IterateDesignUnits(): + doc._AddDesignUnit(designUnit) + + self.AddLibrary(library) + + return library
+ + +
+[docs] + def LoadIEEELibrary(self) -> 'Library': + """ + Load the predefined VHDL library ``ieee`` into the design. + + This will create a virtual source code file ``ieee.vhdl`` and register VHDL design units of library ``ieee`` to that file. + + :returns: The library object of library ``ieee``. + """ + from pyVHDLModel.IEEE import Ieee + + doc = Document(Path("ieee.vhdl"), parent=self) + + library = Ieee() + for designUnit in library.IterateDesignUnits(): + doc._AddDesignUnit(designUnit) + + self.AddLibrary(library) + + return library
+ + +
+[docs] + def AddLibrary(self, library: 'Library') -> None: + """ + Add a VHDL library to the design. + + Ensure the libraries name doesn't collide with existing libraries in the design. |br| + If ok, set the libraries parent reference to the design. + + :param library: Library object to loaded. + :raises LibraryExistsInDesignError: If the library already exists in the design. + :raises LibraryRegisteredToForeignDesignError: If library is already used by a different design. + """ + libraryIdentifier = library.NormalizedIdentifier + if libraryIdentifier in self._libraries: + raise LibraryExistsInDesignError(library) + + if library._parent is not None: + raise LibraryRegisteredToForeignDesignError(library) + + self._libraries[libraryIdentifier] = library + library._parent = self
+ + +
+[docs] + def GetLibrary(self, libraryName: str) -> 'Library': + """ + Return an (existing) VHDL library object of name ``libraryName``. + + If the requested VHDL library doesn't exist, a new VHDL library with that name will be created. + + :param libraryName: Name of the requested VHDL library. + :returns: The VHDL library object. + """ + libraryIdentifier = libraryName.lower() + try: + return self._libraries[libraryIdentifier] + except KeyError: + lib = Library(libraryName, parent=self) + self._libraries[libraryIdentifier] = lib + lib._parent = self + return lib
+ + + # TODO: allow overloaded parameter library to be str? +
+[docs] + def AddDocument(self, document: 'Document', library: 'Library') -> None: + """ + Add a document (VHDL source file) to the design and register all embedded design units to the given VHDL library. + + .. rubric:: Algorithm + + 1. Iterate all entities in the document + + 1. Check if entity name might exist in target library. + 2. Add entity to library and update library membership. + + 2. Iterate all architectures in the document + + 1. Check if architecture name might exist in target library. + 2. Add architecture to library and update library membership. + + 3. Iterate all packages in the document + + 1. Check if package name might exist in target library. + 2. Add package to library and update library membership. + + 4. Iterate all package bodies in the document + + 1. Check if package body name might exist in target library. + 2. Add package body to library and update library membership. + + 5. Iterate all configurations in the document + + 1. Check if configuration name might exist in target library. + 2. Add configuration to library and update library membership. + + 6. Iterate all contexts in the document + + 1. Check if context name might exist in target library. + 2. Add context to library and update library membership. + + :param document: The VHDL source code file. + :param library: The VHDL library used to register the embedded design units to. + :raises LibraryNotRegisteredError: If the given VHDL library is not a library in the design. + :raises EntityExistsInLibraryError: If the processed entity's name is already existing in the VHDL library. + :raises ArchitectureExistsInLibraryError: If the processed architecture's name is already existing in the VHDL library. + :raises PackageExistsInLibraryError: If the processed package's name is already existing in the VHDL library. + :raises PackageBodyExistsError: If the processed package body's name is already existing in the VHDL library. + :raises ConfigurationExistsInLibraryError: If the processed configuration's name is already existing in the VHDL library. + :raises ContextExistsInLibraryError: If the processed context's name is already existing in the VHDL library. + """ + # FIXME: this checks for the library name, but not the object + # should the libraries parent be checked too? + if library._normalizedIdentifier not in self._libraries: + raise LibraryNotRegisteredError(library) + + self._documents.append(document) + document._parent = self + + for entityIdentifier, entity in document._entities.items(): + if entityIdentifier in library._entities: + raise EntityExistsInLibraryError(entity, library) + + library._entities[entityIdentifier] = entity + entity.Library = library + + for entityIdentifier, architectures in document._architectures.items(): + try: + architecturesPerEntity = library._architectures[entityIdentifier] + for architectureIdentifier, architecture in architectures.items(): + if architectureIdentifier in architecturesPerEntity: + raise ArchitectureExistsInLibraryError(architecture, library._entities[entityIdentifier], library) + + architecturesPerEntity[architectureIdentifier] = architecture + architecture.Library = library + except KeyError: + architecturesPerEntity = document._architectures[entityIdentifier].copy() + library._architectures[entityIdentifier] = architecturesPerEntity + + for architecture in architecturesPerEntity.values(): + architecture.Library = library + + for packageIdentifier, package in document._packages.items(): + if packageIdentifier in library._packages: + raise PackageExistsInLibraryError(package, library) + + library._packages[packageIdentifier] = package + package.Library = library + + for packageBodyIdentifier, packageBody in document._packageBodies.items(): + if packageBodyIdentifier in library._packageBodies: + raise PackageBodyExistsError(packageBody, library) + + library._packageBodies[packageBodyIdentifier] = packageBody + packageBody.Library = library + + for configurationIdentifier, configuration in document._configurations.items(): + if configurationIdentifier in library._configurations: + raise ConfigurationExistsInLibraryError(configuration, library) + + library._configurations[configurationIdentifier] = configuration + configuration.Library = library + + for contextIdentifier, context in document._contexts.items(): + if contextIdentifier in library._contexts: + raise ContextExistsInLibraryError(context, library) + + library._contexts[contextIdentifier] = context + context.Library = library
+ + +
+[docs] + def IterateDesignUnits(self, filter: DesignUnitKind = DesignUnitKind.All) -> Generator[DesignUnit, None, None]: + """ + Iterate all design units in the design. + + A union of :class:`DesignUnitKind` values can be given to filter the returned result for suitable design units. + + .. rubric:: Algorithm + + 1. Iterate all VHDL libraries. + + 1. Iterate all contexts in that library. + 2. Iterate all packages in that library. + 3. Iterate all package bodies in that library. + 4. Iterate all entites in that library. + 5. Iterate all architectures in that library. + 6. Iterate all configurations in that library. + + :param filter: An enumeration with possibly multiple flags to filter the returned design units. + :returns: A generator to iterate all matched design units in the design. + + .. seealso:: + + :meth:`pyVHDLModel.Library.IterateDesignUnits` + Iterate all design units in the library. + :meth:`pyVHDLModel.Document.IterateDesignUnits` + Iterate all design units in the document. + """ + for library in self._libraries.values(): + yield from library.IterateDesignUnits(filter)
+ + +
+[docs] + def Analyze(self) -> None: + """ + Analyze the whole design. + + .. rubric:: Algorithm + + 1. Analyze dependencies of design units. |br| + This will also yield the design hierarchy and the compiler order. + 2. Analyze dependencies of types and objects. + + .. seealso:: + + :meth:`AnalyzeDependencies` + Analyze the dependencies of design units. + + :meth:`AnalyzeObjects` + Analyze the dependencies of types and objects. + """ + self.AnalyzeDependencies() + self.AnalyzeObjects()
+ + +
+[docs] + def AnalyzeDependencies(self) -> None: + """ + Analyze the dependencies of design units. + + .. rubric:: Algorithm + + 1. Create all vertices of the dependency graph by iterating all design units in all libraries. |br| + |rarr| :meth:`CreateDependencyGraph` + 2. Create the compile order graph. |br| + |rarr| :meth:`CreateCompileOrderGraph` + 3. Index all packages. |br| + |rarr| :meth:`IndexPackages` + 4. Index all architectures. |br| + |rarr| :meth:`IndexArchitectures` + 5. Link all contexts |br| + |rarr| :meth:`LinkContexts` + 6. Link all architectures. |br| + |rarr| :meth:`LinkArchitectures` + 7. Link all package bodies. |br| + |rarr| :meth:`LinkPackageBodies` + 8. Link all library references. |br| + |rarr| :meth:`LinkLibraryReferences` + 9. Link all package references. |br| + |rarr| :meth:`LinkPackageReferences` + 10. Link all context references. |br| + |rarr| :meth:`LinkContextReferences` + 11. Link all components. |br| + |rarr| :meth:`LinkComponents` + 12. Link all instantiations. |br| + |rarr| :meth:`LinkInstantiations` + 13. Create the hierarchy graph. |br| + |rarr| :meth:`CreateHierarchyGraph` + 14. Compute the compile order. |br| + |rarr| :meth:`ComputeCompileOrder` + """ + self.CreateDependencyGraph() + self.CreateCompileOrderGraph() + + self.IndexPackages() + self.IndexArchitectures() + + self.LinkContexts() + self.LinkArchitectures() + self.LinkPackageBodies() + self.LinkLibraryReferences() + self.LinkPackageReferences() + self.LinkContextReferences() + + self.LinkComponents() + self.LinkInstantiations() + self.CreateHierarchyGraph() + self.ComputeCompileOrder()
+ + +
+[docs] + def AnalyzeObjects(self) -> None: + """ + Analyze the dependencies of types and objects. + + .. rubric:: Algorithm + + 1. Index all entities. |br| + |rarr| :meth:`IndexEntities` + 2. Index all package bodies. |br| + |rarr| :meth:`IndexPackageBodies` + 3. Import objects. |br| + |rarr| :meth:`ImportObjects` + 4. Create the type and object graph. |br| + |rarr| :meth:`CreateTypeAndObjectGraph` + """ + self.IndexEntities() + self.IndexPackageBodies() + + self.ImportObjects() + self.CreateTypeAndObjectGraph()
+ + +
+[docs] + def CreateDependencyGraph(self) -> None: + """ + Create all vertices of the dependency graph by iterating all design units in all libraries. + + This method will purely create a sea of vertices without any linking between vertices. The edges will be created later by other methods. |br| + See :meth:`AnalyzeDependencies` for these methods and their algorithmic order. + + Each vertex has the following properties: + + * The vertex' ID is the design unit's identifier. + * The vertex' value references the design unit. + * A key-value-pair called ``kind`` denotes the vertex's kind as an enumeration value of type :class:`DependencyGraphVertexKind`. + * A key-value-pair called ``predefined`` denotes if the referenced design unit is a predefined language entity. + + .. rubric:: Algorithm + + 1. Iterate all libraries in the design. + + * Create a vertex for that library and reference the library by the vertex' value field. |br| + In return, set the library's :attr:`~pyVHDLModel.Library._dependencyVertex` field to reference the created vertex. + + 1. Iterate all contexts in that library. + + * Create a vertex for that context and reference the context by the vertex' value field. |br| + In return, set the context's :attr:`~pyVHDLModel.DesignUnit.Context._dependencyVertex` field to reference the created vertex. + + 2. Iterate all packages in that library. + + * Create a vertex for that package and reference the package by the vertex' value field. |br| + In return, set the package's :attr:`~pyVHDLModel.DesignUnit.Package._dependencyVertex` field to reference the created vertex. + + 3. Iterate all package bodies in that library. + + * Create a vertex for that package body and reference the package body by the vertex' value field. |br| + In return, set the package body's :attr:`~pyVHDLModel.DesignUnit.PackageBody._dependencyVertex` field to reference the created vertex. + + 4. Iterate all entities in that library. + + * Create a vertex for that entity and reference the entity by the vertex' value field. |br| + In return, set the entity's :attr:`~pyVHDLModel.DesignUnit.Entity._dependencyVertex` field to reference the created vertex. + + 5. Iterate all architectures in that library. + + * Create a vertex for that architecture and reference the architecture by the vertex' value field. |br| + In return, set the architecture's :attr:`~pyVHDLModel.DesignUnit.Architecture._dependencyVertex` field to reference the created vertex. + + 6. Iterate all configurations in that library. + + * Create a vertex for that configuration and reference the configuration by the vertex' value field. |br| + In return, set the configuration's :attr:`~pyVHDLModel.DesignUnit.Configuration._dependencyVertex` field to reference the created vertex. + """ + predefinedLibraries = ("std", "ieee") + + for libraryIdentifier, library in self._libraries.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}", value=library, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Library + dependencyVertex["predefined"] = libraryIdentifier in predefinedLibraries + library._dependencyVertex = dependencyVertex + + for contextIdentifier, context in library._contexts.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{contextIdentifier}", value=context, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Context + dependencyVertex["predefined"] = context._parent._normalizedIdentifier in predefinedLibraries + context._dependencyVertex = dependencyVertex + + for packageIdentifier, package in library._packages.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{packageIdentifier}", value=package, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Package + dependencyVertex["predefined"] = package._parent._normalizedIdentifier in predefinedLibraries + package._dependencyVertex = dependencyVertex + + for packageBodyIdentifier, packageBody in library._packageBodies.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{packageBodyIdentifier}(body)", value=packageBody, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.PackageBody + dependencyVertex["predefined"] = packageBody._parent._normalizedIdentifier in predefinedLibraries + packageBody._dependencyVertex = dependencyVertex + + for entityIdentifier, entity in library._entities.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{entityIdentifier}", value=entity, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Entity + dependencyVertex["predefined"] = entity._parent._normalizedIdentifier in predefinedLibraries + entity._dependencyVertex = dependencyVertex + + for entityIdentifier, architectures in library._architectures.items(): + for architectureIdentifier, architecture in architectures.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{entityIdentifier}({architectureIdentifier})", value=architecture, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Architecture + dependencyVertex["predefined"] = architecture._parent._normalizedIdentifier in predefinedLibraries + architecture._dependencyVertex = dependencyVertex + + for configurationIdentifier, configuration in library._configurations.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{configurationIdentifier}", value=configuration, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Configuration + dependencyVertex["predefined"] = configuration._parent._normalizedIdentifier in predefinedLibraries + configuration._dependencyVertex = dependencyVertex
+ + +
+[docs] + def CreateCompileOrderGraph(self) -> None: + """ + Create a compile-order graph with bidirectional references to the dependency graph. + + Add vertices representing a document (VHDL source file) to the dependency graph. Each "document" vertex in dependency graph is copied into the compile-order + graph and bidirectionally referenced. + + In addition, each vertex of a corresponding design unit in a document is linked to the vertex representing that document to express the design unit in + document relationship. + + Each added vertex has the following properties: + + * The vertex' ID is the document's filename. + * The vertex' value references the document. + * A key-value-pair called ``kind`` denotes the vertex's kind as an enumeration value of type :class:`DependencyGraphVertexKind`. + * A key-value-pair called ``predefined`` does not exist. + + .. rubric:: Algorithm + + 1. Iterate all documents in the design. + + * Create a vertex for that document and reference the document by the vertex' value field. |br| + In return, set the documents's :attr:`~pyVHDLModel.Document._dependencyVertex` field to reference the created vertex. + * Copy the vertex from dependency graph to compile-order graph and link both vertices bidirectionally. |br| + In addition, set the documents's :attr:`~pyVHDLModel.Document._dependencyVertex` field to reference the copied vertex. + + * Add a key-value-pair called ``compileOrderVertex`` to the dependency graph's vertex. + * Add a key-value-pair called ``dependencyVertex`` to the compiler-order graph's vertex. + + 1. Iterate the documents design units and create an edge from the design unit's corresponding dependency vertex to the documents corresponding + dependency vertex. This expresses a "design unit is located in document" relation. + + * Add a key-value-pair called `kind`` denoting the edge's kind as an enumeration value of type :class:`DependencyGraphEdgeKind`. + """ + for document in self._documents: + dependencyVertex = Vertex(vertexID=document.Path.name, value=document, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Document + document._dependencyVertex = dependencyVertex + + compilerOrderVertex = dependencyVertex.Copy( + self._compileOrderGraph, + copyDict=True, + linkingKeyToOriginalVertex="dependencyVertex", + linkingKeyFromOriginalVertex="compileOrderVertex" + ) + document._compileOrderVertex = compilerOrderVertex + + for designUnit in document._designUnits: + edge = dependencyVertex.EdgeFromVertex(designUnit._dependencyVertex) + edge["kind"] = DependencyGraphEdgeKind.SourceFile
+ + + def ImportObjects(self) -> None: + def _ImportObjects(package: Package) -> None: + for referencedLibrary in package._referencedPackages.values(): + for referencedPackage in referencedLibrary.values(): + for declaredItem in referencedPackage._declaredItems: + if isinstance(declaredItem, MultipleNamedEntityMixin): + for normalizedIdentifier in declaredItem._normalizedIdentifiers: + package._namespace._elements[normalizedIdentifier] = declaredItem + elif isinstance(declaredItem, NamedEntityMixin): + package._namespace._elements[declaredItem._normalizedIdentifier] = declaredItem + else: + raise VHDLModelException(f"Unexpected declared item.") + + for libraryName in ("std", "ieee"): + for package in self.GetLibrary(libraryName).IterateDesignUnits(filter=DesignUnitKind.Package): # type: Package + _ImportObjects(package) + + for document in self.IterateDocumentsInCompileOrder(): + for package in document.IterateDesignUnits(filter=DesignUnitKind.Package): # type: Package + _ImportObjects(package) + + def CreateTypeAndObjectGraph(self) -> None: + def _HandlePackage(package) -> None: + packagePrefix = f"{package.Library.NormalizedIdentifier}.{package.NormalizedIdentifier}" + + for deferredConstant in package._deferredConstants.values(): + print(f"Deferred Constant: {deferredConstant}") + deferredConstantVertex = Vertex( + vertexID=f"{packagePrefix}.{deferredConstant.NormalizedIdentifiers[0]}", + value=deferredConstant, + graph=self._objectGraph + ) + deferredConstantVertex["kind"] = ObjectGraphVertexKind.DeferredConstant + deferredConstant._objectVertex = deferredConstantVertex + + for constant in package._constants.values(): + print(f"Constant: {constant}") + constantVertex = Vertex( + vertexID=f"{packagePrefix}.{constant.NormalizedIdentifiers[0]}", + value=constant, + graph=self._objectGraph + ) + constantVertex["kind"] = ObjectGraphVertexKind.Constant + constant._objectVertex = constantVertex + + for type in package._types.values(): + print(f"Type: {type}") + typeVertex = Vertex( + vertexID=f"{packagePrefix}.{type.NormalizedIdentifier}", + value=type, + graph=self._objectGraph + ) + typeVertex["kind"] = ObjectGraphVertexKind.Type + type._objectVertex = typeVertex + + for subtype in package._subtypes.values(): + print(f"Subtype: {subtype}") + subtypeVertex = Vertex( + vertexID=f"{packagePrefix}.{subtype.NormalizedIdentifier}", + value=subtype, + graph=self._objectGraph + ) + subtypeVertex["kind"] = ObjectGraphVertexKind.Subtype + subtype._objectVertex = subtypeVertex + + for function in package._functions.values(): + print(f"Function: {function}") + functionVertex = Vertex( + vertexID=f"{packagePrefix}.{function.NormalizedIdentifier}", + value=function, + graph=self._objectGraph + ) + functionVertex["kind"] = ObjectGraphVertexKind.Function + function._objectVertex = functionVertex + + for procedure in package._procedures.values(): + print(f"Procedure: {procedure}") + procedureVertex = Vertex( + vertexID=f"{packagePrefix}.{procedure.NormalizedIdentifier}", + value=procedure, + graph=self._objectGraph + ) + procedureVertex["kind"] = ObjectGraphVertexKind.Function + procedure._objectVertex = procedureVertex + + for signal in package._signals.values(): + print(f"Signal: {signal}") + signalVertex = Vertex( + vertexID=f"{packagePrefix}.{signal.NormalizedIdentifiers[0]}", + value=signal, + graph=self._objectGraph + ) + signalVertex["kind"] = ObjectGraphVertexKind.Signal + signal._objectVertex = signalVertex + + def _LinkSymbolsInExpression(expression, namespace: Namespace, typeVertex: Vertex): + if isinstance(expression, UnaryExpression): + _LinkSymbolsInExpression(expression.Operand, namespace, typeVertex) + elif isinstance(expression, BinaryExpression): + _LinkSymbolsInExpression(expression.LeftOperand, namespace, typeVertex) + _LinkSymbolsInExpression(expression.RightOperand, namespace, typeVertex) + elif isinstance(expression, TernaryExpression): + pass + elif isinstance(expression, SimpleObjectOrFunctionCallSymbol): + obj = namespace.FindObject(expression) + expression._reference = obj + + edge = obj._objectVertex.EdgeToVertex(typeVertex) + edge["kind"] = ObjectGraphEdgeKind.ReferenceInExpression + else: + pass + + def _LinkItems(package: Package): + for item in package._declaredItems: + if isinstance(item, Constant): + print(f"constant: {item}") + elif isinstance(item, DeferredConstant): + print(f"deferred constant: {item}") + elif isinstance(item, Signal): + print(f"signal: {item}") + elif isinstance(item, IntegerType): + typeNode = item._objectVertex + + _LinkSymbolsInExpression(item.Range.LeftBound, package._namespace, typeNode) + _LinkSymbolsInExpression(item.Range.RightBound, package._namespace, typeNode) + # elif isinstance(item, FloatingType): + # print(f"signal: {item}") + elif isinstance(item, PhysicalType): + typeNode = item._objectVertex + + _LinkSymbolsInExpression(item.Range.LeftBound, package._namespace, typeNode) + _LinkSymbolsInExpression(item.Range.RightBound, package._namespace, typeNode) + elif isinstance(item, ArrayType): + # Resolve dimensions + for dimension in item._dimensions: + subtype = package._namespace.FindSubtype(dimension) + dimension._reference = subtype + + edge = item._objectVertex.EdgeToVertex(subtype._objectVertex) + edge["kind"] = ObjectGraphEdgeKind.Subtype + + # Resolve element subtype + subtype = package._namespace.FindSubtype(item._elementType) + item._elementType._reference = subtype + + edge = item._objectVertex.EdgeToVertex(subtype._objectVertex) + edge["kind"] = ObjectGraphEdgeKind.Subtype + elif isinstance(item, RecordType): + # Resolve each elements subtype + for element in item._elements: + subtype = package._namespace.FindSubtype(element._subtype) + element._subtype._reference = subtype + + edge = item._objectVertex.EdgeToVertex(subtype._objectVertex) + edge["kind"] = ObjectGraphEdgeKind.Subtype + else: + print(f"not handled: {item}") + + for libraryName in ("std", "ieee"): + for package in self.GetLibrary(libraryName).IterateDesignUnits(filter=DesignUnitKind.Package): # type: Package + _HandlePackage(package) + _LinkItems(package) + + for document in self.IterateDocumentsInCompileOrder(): + for package in document.IterateDesignUnits(filter=DesignUnitKind.Package): # type: Package + _HandlePackage(package) + _LinkItems(package) + +
+[docs] + def LinkContexts(self) -> None: + """ + Resolves and links all items (library clauses, use clauses and nested context references) in contexts. + + It iterates all contexts in the design. Therefore, the library of the context is used as the working library. By + default, the working library is implicitly referenced in :data:`_referencedLibraries`. In addition, a new empty + dictionary is created in :data:`_referencedPackages` and :data:`_referencedContexts` for that working library. + + At first, all library clauses are resolved (a library clause my have multiple library reference symbols). For each + referenced library an entry in :data:`_referencedLibraries` is generated and new empty dictionaries in + :data:`_referencedPackages` and :data:`_referencedContexts` for that working library. In addition, a vertex in the + dependency graph is added for that relationship. + + At second, all use clauses are resolved (a use clause my have multiple package member reference symbols). For each + referenced package, + """ + for context in self.IterateDesignUnits(DesignUnitKind.Context): # type: Context + # Create entries in _referenced*** for the current working library under its real name. + workingLibrary: Library = context.Library + libraryNormalizedIdentifier = workingLibrary._normalizedIdentifier + + context._referencedLibraries[libraryNormalizedIdentifier] = self._libraries[libraryNormalizedIdentifier] + context._referencedPackages[libraryNormalizedIdentifier] = {} + context._referencedContexts[libraryNormalizedIdentifier] = {} + + # Process all library clauses + for libraryReference in context._libraryReferences: + # A library clause can have multiple comma-separated references + for libraryName in libraryReference.Symbols: + libraryNormalizedIdentifier = libraryName.Name._normalizedIdentifier + try: + library = self._libraries[libraryNormalizedIdentifier] + except KeyError: + raise ReferencedLibraryNotExistingError(context, libraryName) + # TODO: add position to these messages + + libraryName.Library = library + + context._referencedLibraries[libraryNormalizedIdentifier] = library + context._referencedPackages[libraryNormalizedIdentifier] = {} + context._referencedContexts[libraryNormalizedIdentifier] = {} + # TODO: warn duplicate library reference + + dependency = context._dependencyVertex.EdgeToVertex(library._dependencyVertex, edgeValue=libraryReference) + dependency["kind"] = DependencyGraphEdgeKind.LibraryClause + + # Process all use clauses + for packageReference in context.PackageReferences: + # A use clause can have multiple comma-separated references + for symbol in packageReference.Symbols: # type: PackageReferenceSymbol + packageName = symbol.Name.Prefix + libraryName = packageName.Prefix + + libraryNormalizedIdentifier = libraryName._normalizedIdentifier + packageNormalizedIdentifier = packageName._normalizedIdentifier + + # In case work is used, resolve to the real library name. + if libraryNormalizedIdentifier == "work": + library: Library = context._parent + libraryNormalizedIdentifier = library._normalizedIdentifier + elif libraryNormalizedIdentifier not in context._referencedLibraries: + # TODO: This check doesn't trigger if it's the working library. + raise VHDLModelException(f"Use clause references library '{libraryName._identifier}', which was not referenced by a library clause.") + else: + library = self._libraries[libraryNormalizedIdentifier] + + try: + package = library._packages[packageNormalizedIdentifier] + except KeyError: + raise VHDLModelException(f"Package '{packageName._identifier}' not found in {'working ' if libraryName._normalizedIdentifier == 'work' else ''}library '{library._identifier}'.") + + symbol.Package = package + + # TODO: warn duplicate package reference + context._referencedPackages[libraryNormalizedIdentifier][packageNormalizedIdentifier] = package + + dependency = context._dependencyVertex.EdgeToVertex(package._dependencyVertex, edgeValue=packageReference) + dependency["kind"] = DependencyGraphEdgeKind.UseClause + + # TODO: update the namespace with visible members + if isinstance(symbol, AllPackageMembersReferenceSymbol): + pass + + elif isinstance(symbol, PackageMemberReferenceSymbol): + raise NotImplementedError() + else: + raise VHDLModelException()
+ + +
+[docs] + def LinkArchitectures(self) -> None: + """ + Link all architectures to corresponding entities in all libraries. + + .. rubric:: Algorithm + + 1. Iterate all libraries: + + 1. Iterate all architecture groups (grouped per entity symbol's name). + |rarr| :meth:`pyVHDLModel.Library.LinkArchitectures` + + * Check if entity symbol's name exists as an entity in this library. + + 1. For each architecture in the same architecture group: + + * Add architecture to entities architecture dictionary :attr:`pyVHDLModel.DesignUnit.Entity._architectures`. + * Assign found entity to architecture's entity symbol :attr:`pyVHDLModel.DesignUnit.Architecture._entity` + * Set parent namespace of architecture's namespace to the entitie's namespace. + * Add an edge in the dependency graph from the architecture's corresponding dependency vertex to the entity's corresponding dependency vertex. + + .. seealso:: + + :meth:`LinkPackageBodies` + Link all package bodies to corresponding packages in all libraries. + """ + for library in self._libraries.values(): + library.LinkArchitectures()
+ + +
+[docs] + def LinkPackageBodies(self) -> None: + """ + Link all package bodies to corresponding packages in all libraries. + + .. rubric:: Algorithm + + 1. Iterate all libraries: + + 1. Iterate all package bodies. + |rarr| :meth:`pyVHDLModel.Library.LinkPackageBodies` + + * Check if package body symbol's name exists as a package in this library. + * Add package body to package :attr:`pyVHDLModel.DesignUnit.Package._packageBody`. + * Assign found package to package body's package symbol :attr:`pyVHDLModel.DesignUnit.PackageBody._package` + * Set parent namespace of package body's namespace to the package's namespace. + * Add an edge in the dependency graph from the package body's corresponding dependency vertex to the package's corresponding dependency vertex. + + .. seealso:: + + :meth:`LinkArchitectures` + Link all architectures to corresponding entities in all libraries. + """ + for library in self._libraries.values(): + library.LinkPackageBodies()
+ + + def LinkLibraryReferences(self) -> None: + DEFAULT_LIBRARIES = ("std",) + + for designUnit in self.IterateDesignUnits(DesignUnitKind.WithContext): + # All primary units supporting a context, have at least one library implicitly referenced + if isinstance(designUnit, PrimaryUnit): + for libraryIdentifier in DEFAULT_LIBRARIES: + referencedLibrary = self._libraries[libraryIdentifier] + designUnit._referencedLibraries[libraryIdentifier] = referencedLibrary + designUnit._referencedPackages[libraryIdentifier] = {} + designUnit._referencedContexts[libraryIdentifier] = {} + # TODO: catch KeyError on self._libraries[libName] + # TODO: warn duplicate library reference + + dependency = designUnit._dependencyVertex.EdgeToVertex(referencedLibrary._dependencyVertex) + dependency["kind"] = DependencyGraphEdgeKind.LibraryClause + + workingLibrary: Library = designUnit.Library + libraryIdentifier = workingLibrary.NormalizedIdentifier + referencedLibrary = self._libraries[libraryIdentifier] + + + designUnit._referencedLibraries[libraryIdentifier] = referencedLibrary + designUnit._referencedPackages[libraryIdentifier] = {} + designUnit._referencedContexts[libraryIdentifier] = {} + + dependency = designUnit._dependencyVertex.EdgeToVertex(referencedLibrary._dependencyVertex) + dependency["kind"] = DependencyGraphEdgeKind.LibraryClause + + # All secondary units inherit referenced libraries from their primary units. + else: + if isinstance(designUnit, Architecture): + referencedLibraries = designUnit.Entity.Entity._referencedLibraries + elif isinstance(designUnit, PackageBody): + referencedLibraries = designUnit.Package.Package._referencedLibraries + else: + raise VHDLModelException() + + for libraryIdentifier, library in referencedLibraries.items(): + designUnit._referencedLibraries[libraryIdentifier] = library + + for libraryReference in designUnit._libraryReferences: + # A library clause can have multiple comma-separated references + for librarySymbol in libraryReference.Symbols: + libraryIdentifier = librarySymbol.Name.NormalizedIdentifier + try: + library = self._libraries[libraryIdentifier] + except KeyError: + ex = VHDLModelException(f"Library '{librarySymbol.Name.Identifier}' referenced by library clause of design unit '{designUnit.Identifier}' doesn't exist in design.") + ex.add_note(f"""Known libraries: '{"', '".join(library for library in self._libraries)}'""") + raise ex + + librarySymbol.Library = library + designUnit._referencedLibraries[libraryIdentifier] = library + designUnit._referencedPackages[libraryIdentifier] = {} + designUnit._referencedContexts[libraryIdentifier] = {} + # TODO: warn duplicate library reference + + dependency = designUnit._dependencyVertex.EdgeToVertex(library._dependencyVertex, edgeValue=libraryReference) + dependency["kind"] = DependencyGraphEdgeKind.LibraryClause + + def LinkPackageReferences(self) -> None: + DEFAULT_PACKAGES = ( + ("std", ("standard",)), + ) + + for designUnit in self.IterateDesignUnits(DesignUnitKind.WithContext): + # All primary units supporting a context, have at least one package implicitly referenced + if isinstance(designUnit, PrimaryUnit): + if designUnit.Library.NormalizedIdentifier != "std" and \ + designUnit.NormalizedIdentifier != "standard": + for lib in DEFAULT_PACKAGES: + if lib[0] not in designUnit._referencedLibraries: + raise VHDLModelException() + for pack in lib[1]: + referencedPackage = self._libraries[lib[0]]._packages[pack] + designUnit._referencedPackages[lib[0]][pack] = referencedPackage + # TODO: catch KeyError on self._libraries[lib[0]]._packages[pack] + # TODO: warn duplicate package reference + + dependency = designUnit._dependencyVertex.EdgeToVertex(referencedPackage._dependencyVertex) + dependency["kind"] = DependencyGraphEdgeKind.UseClause + + # All secondary units inherit referenced packages from their primary units. + else: + if isinstance(designUnit, Architecture): + referencedPackages = designUnit.Entity.Entity._referencedPackages + elif isinstance(designUnit, PackageBody): + referencedPackages = designUnit.Package.Package._referencedPackages + else: + raise VHDLModelException() + + for packageIdentifier, package in referencedPackages.items(): + designUnit._referencedPackages[packageIdentifier] = package + + for packageReference in designUnit.PackageReferences: + # A use clause can have multiple comma-separated references + for packageMemberSymbol in packageReference.Symbols: + packageName = packageMemberSymbol.Name.Prefix + libraryName = packageName.Prefix + + libraryIdentifier = libraryName.NormalizedIdentifier + packageIdentifier = packageName.NormalizedIdentifier + + # In case work is used, resolve to the real library name. + if libraryIdentifier == "work": + library: Library = designUnit.Library + libraryIdentifier = library.NormalizedIdentifier + elif libraryIdentifier not in designUnit._referencedLibraries: + # TODO: This check doesn't trigger if it's the working library. + raise VHDLModelException(f"Use clause references library '{libraryName.Identifier}', which was not referenced by a library clause.") + else: + library = self._libraries[libraryIdentifier] + + try: + package = library._packages[packageIdentifier] + except KeyError: + ex = VHDLModelException(f"Package '{packageName.Identifier}' not found in {'working ' if libraryName.NormalizedIdentifier == 'work' else ''}library '{library.Identifier}'.") + ex.add_note(f"Caused in design unit '{designUnit}' in file '{designUnit.Document}'.") + raise ex + + packageMemberSymbol.Package = package + + # TODO: warn duplicate package reference + designUnit._referencedPackages[libraryIdentifier][packageIdentifier] = package + + dependency = designUnit._dependencyVertex.EdgeToVertex(package._dependencyVertex, edgeValue=packageReference) + dependency["kind"] = DependencyGraphEdgeKind.UseClause + + # TODO: update the namespace with visible members + if isinstance(packageMemberSymbol, AllPackageMembersReferenceSymbol): + for componentIdentifier, component in package._components.items(): + designUnit._namespace._elements[componentIdentifier] = component + + elif isinstance(packageMemberSymbol, PackageMemberReferenceSymbol): + raise NotImplementedError() + else: + raise VHDLModelException() + + def LinkContextReferences(self) -> None: + for designUnit in self.IterateDesignUnits(): + for contextReference in designUnit._contextReferences: + # A context reference can have multiple comma-separated references + for contextSymbol in contextReference.Symbols: + libraryName = contextSymbol.Name.Prefix + + libraryIdentifier = libraryName.NormalizedIdentifier + contextIdentifier = contextSymbol.Name.NormalizedIdentifier + + # In case work is used, resolve to the real library name. + if libraryIdentifier == "work": + referencedLibrary = designUnit.Library + libraryIdentifier = referencedLibrary.NormalizedIdentifier + elif libraryIdentifier not in designUnit._referencedLibraries: + # TODO: This check doesn't trigger if it's the working library. + raise VHDLModelException(f"Context reference references library '{libraryName.Identifier}', which was not referenced by a library clause.") + else: + referencedLibrary = self._libraries[libraryIdentifier] + + try: + referencedContext = referencedLibrary._contexts[contextIdentifier] + except KeyError: + raise VHDLModelException(f"Context '{contextSymbol.Name.Identifier}' not found in {'working ' if libraryName.NormalizedIdentifier == 'work' else ''}library '{referencedLibrary.Identifier}'.") + + contextSymbol.Package = referencedContext + + # TODO: warn duplicate referencedContext reference + designUnit._referencedContexts[libraryIdentifier][contextIdentifier] = referencedContext + + dependency = designUnit._dependencyVertex.EdgeToVertex(referencedContext._dependencyVertex, edgeValue=contextReference) + dependency["kind"] = DependencyGraphEdgeKind.ContextReference + + for vertex in self._dependencyGraph.IterateTopologically(): + if vertex["kind"] is DependencyGraphVertexKind.Context: + context: Context = vertex.Value + for designUnitVertex in vertex.IteratePredecessorVertices(): + designUnit: DesignUnit = designUnitVertex.Value + for libraryIdentifier, library in context._referencedLibraries.items(): + # if libraryIdentifier in designUnit._referencedLibraries: + # raise VHDLModelException(f"Referenced library '{library.Identifier}' already exists in references for design unit '{designUnit.Identifier}'.") + + designUnit._referencedLibraries[libraryIdentifier] = library + designUnit._referencedPackages[libraryIdentifier] = {} + + for libraryIdentifier, packages in context._referencedPackages.items(): + for packageIdentifier, package in packages.items(): + if packageIdentifier in designUnit._referencedPackages: + raise VHDLModelException(f"Referenced package '{package.Identifier}' already exists in references for design unit '{designUnit.Identifier}'.") + + designUnit._referencedPackages[libraryIdentifier][packageIdentifier] = package + + def LinkComponents(self) -> None: + for package in self.IterateDesignUnits(DesignUnitKind.Package): # type: Package + library = package._parent + for component in package._components.values(): + try: + entity = library._entities[component.NormalizedIdentifier] + except KeyError: + print(f"Entity '{component.Identifier}' not found for component '{component.Identifier}' in library '{library.Identifier}'.") + + component.Entity = entity + + # QUESTION: Add link in dependency graph as dashed line from component to entity? + # Currently, component has no _dependencyVertex field + + def LinkInstantiations(self) -> None: + for architecture in self.IterateDesignUnits(DesignUnitKind.Architecture): # type: Architecture + for instance in architecture.IterateInstantiations(): + if isinstance(instance, EntityInstantiation): + libraryName = instance.Entity.Name.Prefix + libraryIdentifier = libraryName.Identifier + normalizedLibraryIdentifier = libraryName.NormalizedIdentifier + if normalizedLibraryIdentifier == "work": + libraryIdentifier = architecture.Library.Identifier + normalizedLibraryIdentifier = architecture.Library.NormalizedIdentifier + elif normalizedLibraryIdentifier not in architecture._referencedLibraries: + ex = VHDLModelException(f"Referenced library '{libraryIdentifier}' in direct entity instantiation '{instance.Label}: entity {instance.Entity.Prefix.Identifier}.{instance.Entity.Identifier}' not found in architecture '{architecture!r}'.") + ex.add_note(f"Add a library reference to the architecture or entity using a library clause like: 'library {libraryIdentifier};'.") + raise ex + + try: + library = self._libraries[normalizedLibraryIdentifier] + except KeyError: + ex = VHDLModelException(f"Referenced library '{libraryIdentifier}' in direct entity instantiation '{instance.Label}: entity {instance.Entity.Prefix.Identifier}.{instance.Entity.Identifier}' not found in design.") + ex.add_note(f"No design units were parsed into library '{libraryIdentifier}'. Thus it doesn't exist in design.") + raise ex + + try: + entity = library._entities[instance.Entity.Name.NormalizedIdentifier] + except KeyError: + ex = VHDLModelException(f"Referenced entity '{instance.Entity.Name.Identifier}' in direct entity instantiation '{instance.Label}: entity {instance.Entity.Name.Prefix.Identifier}.{instance.Entity.Name.Identifier}' not found in {'working ' if instance.Entity.Name.Prefix.NormalizedIdentifier == 'work' else ''}library '{libraryIdentifier}'.") + libs = [library.Identifier for library in self._libraries.values() for entityIdentifier in library._entities.keys() if entityIdentifier == instance.Entity.Name.NormalizedIdentifier] + if libs: + ex.add_note(f"Found entity '{instance.Entity!s}' in other libraries: {', '.join(libs)}") + raise ex + + instance.Entity.Entity = entity + + dependency = architecture._dependencyVertex.EdgeToVertex(entity._dependencyVertex, edgeValue=instance) + dependency["kind"] = DependencyGraphEdgeKind.EntityInstantiation + + elif isinstance(instance, ComponentInstantiation): + component = architecture._namespace.FindComponent(instance.Component) + + instance.Component.Component = component + + dependency = architecture._dependencyVertex.EdgeToVertex(component.Entity._dependencyVertex, edgeValue=instance) + dependency["kind"] = DependencyGraphEdgeKind.ComponentInstantiation + + elif isinstance(instance, ConfigurationInstantiation): + # pass + print(instance.Label, instance.Configuration) + +
+[docs] + def IndexPackages(self) -> None: + """ + Index all declared items in all packages in all libraries. + + .. rubric:: Algorithm + + 1. Iterate all libraries: + + 1. Iterate all packages |br| + |rarr| :meth:`pyVHDLModel.Library.IndexPackages` + + * Index all declared items in that package. |br| + |rarr| :meth:`pyVHDLModel.DesignUnit.Package.IndexDeclaredItems` + + .. seealso:: + + :meth:`IndexPackageBodies` + Index all declared items in all package bodies in all libraries. + :meth:`IndexEntities` + Index all declared items in all entities in all libraries. + :meth:`IndexArchitectures` + Index all declared items in all architectures in all libraries. + """ + for library in self._libraries.values(): + library.IndexPackages()
+ + +
+[docs] + def IndexPackageBodies(self) -> None: + """ + Index all declared items in all packages in all libraries. + + .. rubric:: Algorithm + + 1. Iterate all libraries: + + 1. Iterate all packages |br| + |rarr| :meth:`pyVHDLModel.Library.IndexPackageBodies` + + * Index all declared items in that package body. |br| + |rarr| :meth:`pyVHDLModel.DesignUnit.PackageBody.IndexDeclaredItems` + + .. seealso:: + + :meth:`IndexPackages` + Index all declared items in all packages in all libraries. + :meth:`IndexEntities` + Index all declared items in all entities in all libraries. + :meth:`IndexArchitectures` + Index all declared items in all architectures in all libraries. + """ + for library in self._libraries.values(): + library.IndexPackageBodies()
+ + +
+[docs] + def IndexEntities(self) -> None: + """ + Index all declared items in all packages in all libraries. + + .. rubric:: Algorithm + + 1. Iterate all libraries: + + 1. Iterate all packages |br| + |rarr| :meth:`pyVHDLModel.Library.IndexEntities` + + * Index all declared items in that entity. |br| + |rarr| :meth:`pyVHDLModel.DesignUnit.Entity.IndexDeclaredItems` + + .. seealso:: + + :meth:`IndexPackages` + Index all declared items in all packages in all libraries. + :meth:`IndexPackageBodies` + Index all declared items in all package bodies in all libraries. + :meth:`IndexArchitectures` + Index all declared items in all architectures in all libraries. + """ + for library in self._libraries.values(): + library.IndexEntities()
+ + +
+[docs] + def IndexArchitectures(self) -> None: + """ + Index all declared items in all packages in all libraries. + + .. rubric:: Algorithm + + 1. Iterate all libraries: + + 1. Iterate all packages |br| + |rarr| :meth:`pyVHDLModel.Library.IndexArchitectures` + + * Index all declared items in that architecture. |br| + |rarr| :meth:`pyVHDLModel.DesignUnit.Architecture.IndexDeclaredItems` + + .. seealso:: + + :meth:`IndexPackages` + Index all declared items in all packages in all libraries. + :meth:`IndexPackageBodies` + Index all declared items in all package bodies in all libraries. + :meth:`IndexEntities` + Index all declared items in all entities in all libraries. + """ + for library in self._libraries.values(): + library.IndexArchitectures()
+ + +
+[docs] + def CreateHierarchyGraph(self) -> None: + """ + Create the hierarchy graph from dependency graph. + + .. rubric:: Algorithm + + 1. Iterate all vertices corresponding to entities and architectures in the dependency graph: + + * Copy these vertices to the hierarchy graph and create a bidirectional linking. |br| + In addition, set the referenced design unit's :attr:`~pyVHDLModel.Document._hierarchyVertex` field to reference the copied vertex. + + * Add a key-value-pair called ``hierarchyVertex`` to the dependency graph's vertex. + * Add a key-value-pair called ``dependencyVertex`` to the hierarchy graph's vertex. + + 2. Iterate all architectures ... + + .. todo:: Design::CreateHierarchyGraph describe algorithm + + 1. Iterate all outbound edges + + .. todo:: Design::CreateHierarchyGraph describe algorithm + """ + # Copy all entity and architecture vertices from dependency graph to hierarchy graph and double-link them + entityArchitectureFilter = lambda v: v["kind"] in DependencyGraphVertexKind.Entity | DependencyGraphVertexKind.Architecture + for vertex in self._dependencyGraph.IterateVertices(predicate=entityArchitectureFilter): + hierarchyVertex = vertex.Copy(self._hierarchyGraph, copyDict=True, linkingKeyToOriginalVertex="dependencyVertex", linkingKeyFromOriginalVertex="hierarchyVertex") + vertex.Value._hierarchyVertex = hierarchyVertex + + # Copy implementation edges from + for hierarchyArchitectureVertex in self._hierarchyGraph.IterateVertices(predicate=lambda v: v["kind"] is DependencyGraphVertexKind.Architecture): + for dependencyEdge in hierarchyArchitectureVertex["dependencyVertex"].IterateOutboundEdges(): + kind: DependencyGraphEdgeKind = dependencyEdge["kind"] + if DependencyGraphEdgeKind.Implementation in kind: + hierarchyDestinationVertex = dependencyEdge.Destination["hierarchyVertex"] + newEdge = hierarchyArchitectureVertex.EdgeFromVertex(hierarchyDestinationVertex) + elif DependencyGraphEdgeKind.Instantiation in kind: + hierarchyDestinationVertex = dependencyEdge.Destination["hierarchyVertex"] + + # FIXME: avoid parallel edges, to graph can be converted to a tree until "real" hierarchy is computed (unrole generics and blocks) + if hierarchyArchitectureVertex.HasEdgeToDestination(hierarchyDestinationVertex): + continue + + newEdge = hierarchyArchitectureVertex.EdgeToVertex(hierarchyDestinationVertex) + else: + continue + + newEdge["kind"] = kind
+ + + def ComputeCompileOrder(self) -> None: + def predicate(edge: Edge) -> bool: + return ( + DependencyGraphEdgeKind.Implementation in edge["kind"] or + DependencyGraphEdgeKind.Instantiation in edge["kind"] or + DependencyGraphEdgeKind.UseClause in edge["kind"] or + DependencyGraphEdgeKind.ContextReference in edge["kind"] + ) and edge.Destination["predefined"] is False + + for edge in self._dependencyGraph.IterateEdges(predicate=predicate): + sourceDocument: Document = edge.Source.Value.Document + destinationDocument: Document = edge.Destination.Value.Document + + sourceVertex = sourceDocument._compileOrderVertex + destinationVertex = destinationDocument._compileOrderVertex + + # Don't add self-edges + if sourceVertex is destinationVertex: + continue + # Don't add parallel edges + elif sourceVertex.HasEdgeToDestination(destinationVertex): + continue + + e = sourceVertex.EdgeToVertex(destinationVertex) + e["kind"] = DependencyGraphEdgeKind.CompileOrder + + e = sourceVertex["dependencyVertex"].EdgeToVertex(destinationVertex["dependencyVertex"]) + e["kind"] = DependencyGraphEdgeKind.CompileOrder + +
+[docs] + def IterateDocumentsInCompileOrder(self) -> Generator['Document', None, None]: + """ + Iterate all document in compile-order. + + .. rubric:: Algorithm + + * Check if compile-order graph was populated with vertices and its vertices are linked by edges. + + 1. Iterate compile-order graph in topological order. |br| + :meth:`pyTooling.Graph.Graph.IterateTopologically` + + * yield the compiler-order vertex' referenced document. + + :returns: A generator to iterate all documents in compile-order in the design. + :raises VHDLModelException: If compile-order was not computed. + + .. seealso:: + + .. todo:: missing text + + :meth:`pyVHDLModel.Design.ComputeCompileOrder` + + """ + if self._compileOrderGraph.EdgeCount < self._compileOrderGraph.VertexCount - 1: + raise VHDLModelException(f"Compile order is not yet computed from dependency graph.") + + for compileOrderNode in self._compileOrderGraph.IterateTopologically(): + yield compileOrderNode.Value
+ + + def GetUnusedDesignUnits(self) -> List[DesignUnit]: + raise NotImplementedError() + +
+[docs] + def __repr__(self) -> str: + """ + Formats a representation of the design. + + **Format:** ``Document: 'my_design'`` + + :returns: String representation of the design. + """ + return f"Design: {self._name}"
+ + + __str__ = __repr__
+ + + +
+[docs] +@export +class Library(ModelEntity, NamedEntityMixin): + """A ``Library`` represents a VHDL library. It contains all *primary* and *secondary* design units.""" + + _contexts: Dict[str, Context] #: Dictionary of all contexts defined in a library. + _configurations: Dict[str, Configuration] #: Dictionary of all configurations defined in a library. + _entities: Dict[str, Entity] #: Dictionary of all entities defined in a library. + _architectures: Dict[str, Dict[str, Architecture]] #: Dictionary of all architectures defined in a library. + _packages: Dict[str, Package] #: Dictionary of all packages defined in a library. + _packageBodies: Dict[str, PackageBody] #: Dictionary of all package bodies defined in a library. + + _dependencyVertex: Vertex[None, None, str, Union['Library', DesignUnit], None, None, None, None, None, None, None, None, None, None, None, None, None] #: Reference to the vertex in the dependency graph representing the library. |br| This reference is set by :meth:`~pyVHDLModel.Design.CreateDependencyGraph`. + +
+[docs] + def __init__(self, identifier: str, parent: ModelEntity = None) -> None: + super().__init__(parent) + NamedEntityMixin.__init__(self, identifier) + + self._contexts = {} + self._configurations = {} + self._entities = {} + self._architectures = {} + self._packages = {} + self._packageBodies = {} + + self._dependencyVertex = None
+ + + @readonly + def Contexts(self) -> Dict[str, Context]: + """Returns a list of all context declarations declared in this library.""" + return self._contexts + + @readonly + def Configurations(self) -> Dict[str, Configuration]: + """Returns a list of all configuration declarations declared in this library.""" + return self._configurations + + @readonly + def Entities(self) -> Dict[str, Entity]: + """Returns a list of all entity declarations declared in this library.""" + return self._entities + + @readonly + def Architectures(self) -> Dict[str, Dict[str, Architecture]]: + """Returns a list of all architectures declarations declared in this library.""" + return self._architectures + + @readonly + def Packages(self) -> Dict[str, Package]: + """Returns a list of all package declarations declared in this library.""" + return self._packages + + @readonly + def PackageBodies(self) -> Dict[str, PackageBody]: + """Returns a list of all package body declarations declared in this library.""" + return self._packageBodies + + @readonly + def DependencyVertex(self) -> Vertex: + """ + Read-only property to access the corresponding dependency vertex (:attr:`_dependencyVertex`). + + The dependency vertex references this library by its value field. + + :returns: The corresponding dependency vertex. + """ + return self._dependencyVertex + +
+[docs] + def IterateDesignUnits(self, filter: DesignUnitKind = DesignUnitKind.All) -> Generator[DesignUnit, None, None]: + """ + Iterate all design units in the library. + + A union of :class:`DesignUnitKind` values can be given to filter the returned result for suitable design units. + + .. rubric:: Algorithm + + 1. Iterate all contexts in that library. + 2. Iterate all packages in that library. + 3. Iterate all package bodies in that library. + 4. Iterate all entites in that library. + 5. Iterate all architectures in that library. + 6. Iterate all configurations in that library. + + :param filter: An enumeration with possibly multiple flags to filter the returned design units. + :returns: A generator to iterate all matched design units in the library. + + .. seealso:: + + :meth:`pyVHDLModel.Design.IterateDesignUnits` + Iterate all design units in the design. + :meth:`pyVHDLModel.Document.IterateDesignUnits` + Iterate all design units in the document. + """ + if DesignUnitKind.Context in filter: + for context in self._contexts.values(): + yield context + + if DesignUnitKind.Package in filter: + for package in self._packages.values(): + yield package + + if DesignUnitKind.PackageBody in filter: + for packageBody in self._packageBodies.values(): + yield packageBody + + if DesignUnitKind.Entity in filter: + for entity in self._entities.values(): + yield entity + + if DesignUnitKind.Architecture in filter: + for architectures in self._architectures.values(): + for architecture in architectures.values(): + yield architecture + + if DesignUnitKind.Configuration in filter: + for configuration in self._configurations.values(): + yield configuration
+ + + # for verificationProperty in self._verificationUnits.values(): + # yield verificationProperty + # for verificationUnit in self._verificationProperties.values(): + # yield entity + # for verificationMode in self._verificationModes.values(): + # yield verificationMode + +
+[docs] + def LinkArchitectures(self) -> None: + """ + Link all architectures to corresponding entities. + + .. rubric:: Algorithm + + 1. Iterate all architecture groups (grouped per entity symbol's name). + + * Check if entity symbol's name exists as an entity in this library. + + 1. For each architecture in the same architecture group: + + * Add architecture to entities architecture dictionary :attr:`pyVHDLModel.DesignUnit.Entity._architectures`. + * Assign found entity to architecture's entity symbol :attr:`pyVHDLModel.DesignUnit.Architecture._entity` + * Set parent namespace of architecture's namespace to the entitie's namespace. + * Add an edge in the dependency graph from the architecture's corresponding dependency vertex to the entity's corresponding dependency vertex. + + :raises VHDLModelException: If entity name doesn't exist. + :raises VHDLModelException: If architecture name already exists for entity. + + .. seealso:: + + :meth:`LinkPackageBodies` + Link all package bodies to corresponding packages. + """ + for entityName, architecturesPerEntity in self._architectures.items(): + if entityName not in self._entities: + architectureNames = "', '".join(architecturesPerEntity.keys()) + raise VHDLModelException(f"Entity '{entityName}' referenced by architecture(s) '{architectureNames}' doesn't exist in library '{self._identifier}'.") + # TODO: search in other libraries to find that entity. + # TODO: add code position + + entity = self._entities[entityName] + for architecture in architecturesPerEntity.values(): + if architecture._normalizedIdentifier in entity._architectures: + raise VHDLModelException(f"Architecture '{architecture._identifier}' already exists for entity '{entity._identifier}'.") + # TODO: add code position of existing and current + + entity._architectures[architecture._normalizedIdentifier] = architecture + architecture._entity.Entity = entity + architecture._namespace._parentNamespace = entity._namespace + + # add "architecture -> entity" relation in dependency graph + dependency = architecture._dependencyVertex.EdgeToVertex(entity._dependencyVertex) + dependency["kind"] = DependencyGraphEdgeKind.EntityImplementation
+ + +
+[docs] + def LinkPackageBodies(self) -> None: + """ + Link all package bodies to corresponding packages. + + .. rubric:: Algorithm + + 1. Iterate all package bodies. + + * Check if package body symbol's name exists as a package in this library. + * Add package body to package :attr:`pyVHDLModel.DesignUnit.Package._packageBody`. + * Assign found package to package body's package symbol :attr:`pyVHDLModel.DesignUnit.PackageBody._package` + * Set parent namespace of package body's namespace to the package's namespace. + * Add an edge in the dependency graph from the package body's corresponding dependency vertex to the package's corresponding dependency vertex. + + :raises VHDLModelException: If package name doesn't exist. + + .. seealso:: + + :meth:`LinkArchitectures` + Link all architectures to corresponding entities. + """ + for packageBodyName, packageBody in self._packageBodies.items(): + if packageBodyName not in self._packages: + raise VHDLModelException(f"Package '{packageBodyName}' referenced by package body '{packageBodyName}' doesn't exist in library '{self._identifier}'.") + + package = self._packages[packageBodyName] + package._packageBody = packageBody # TODO: add warning if package had already a body, which is now replaced + packageBody._package.Package = package + packageBody._namespace._parentNamespace = package._namespace + + # add "package body -> package" relation in dependency graph + dependency = packageBody._dependencyVertex.EdgeToVertex(package._dependencyVertex) + dependency["kind"] = DependencyGraphEdgeKind.PackageImplementation
+ + +
+[docs] + def IndexPackages(self) -> None: + """ + Index declared items in all packages. + + .. rubric:: Algorithm + + 1. Iterate all packages: + + * Index all declared items. |br| + |rarr| :meth:`pyVHDLModel.DesignUnit.Package.IndexDeclaredItems` + + .. seealso:: + + :meth:`IndexPackageBodies` + Index all declared items in a package body. + :meth:`IndexEntities` + Index all declared items in an entity. + :meth:`IndexArchitectures` + Index all declared items in an architecture. + """ + for package in self._packages.values(): + if isinstance(package, Package): + package.IndexDeclaredItems()
+ + +
+[docs] + def IndexPackageBodies(self) -> None: + """ + Index declared items in all package bodies. + + .. rubric:: Algorithm + + 1. Iterate all package bodies: + + * Index all declared items. |br| + |rarr| :meth:`pyVHDLModel.DesignUnit.PackageBody.IndexDeclaredItems` + + .. seealso:: + + :meth:`IndexPackages` + Index all declared items in a package. + :meth:`IndexEntities` + Index all declared items in an entity. + :meth:`IndexArchitectures` + Index all declared items in an architecture. + """ + for packageBody in self._packageBodies.values(): + packageBody.IndexDeclaredItems()
+ + +
+[docs] + def IndexEntities(self) -> None: + """ + Index declared items in all entities. + + .. rubric:: Algorithm + + 1. Iterate all entities: + + * Index all declared items. |br| + |rarr| :meth:`pyVHDLModel.DesignUnit.Entity.IndexDeclaredItems` + + .. seealso:: + + :meth:`IndexPackages` + Index all declared items in a package. + :meth:`IndexPackageBodies` + Index all declared items in a package body. + :meth:`IndexArchitectures` + Index all declared items in an architecture. + """ + for entity in self._entities.values(): + entity.IndexDeclaredItems()
+ + +
+[docs] + def IndexArchitectures(self) -> None: + """ + Index declared items in all architectures. + + .. rubric:: Algorithm + + 1. Iterate all architectures: + + * Index all declared items. |br| + |rarr| :meth:`pyVHDLModel.DesignUnit.Architecture.IndexDeclaredItems` + + .. seealso:: + + :meth:`IndexPackages` + Index all declared items in a package. + :meth:`IndexPackageBodies` + Index all declared items in a package body. + :meth:`IndexEntities` + Index all declared items in an entity. + """ + for architectures in self._architectures.values(): + for architecture in architectures.values(): + architecture.IndexDeclaredItems() + architecture.IndexStatements()
+ + +
+[docs] + def __repr__(self) -> str: + """ + Formats a representation of the library. + + **Format:** ``Library: 'my_library'`` + + :returns: String representation of the library. + """ + return f"Library: '{self._identifier}'"
+ + + __str__ = __repr__
+ + + +
+[docs] +@export +class Document(ModelEntity, DocumentedEntityMixin): + """A ``Document`` represents a sourcefile. It contains *primary* and *secondary* design units.""" + + _path: Path #: path to the document. ``None`` if virtual document. + _designUnits: List[DesignUnit] #: List of all design units defined in a document. + _contexts: Dict[str, Context] #: Dictionary of all contexts defined in a document. + _configurations: Dict[str, Configuration] #: Dictionary of all configurations defined in a document. + _entities: Dict[str, Entity] #: Dictionary of all entities defined in a document. + _architectures: Dict[str, Dict[str, Architecture]] #: Dictionary of all architectures defined in a document. + _packages: Dict[str, Package] #: Dictionary of all packages defined in a document. + _packageBodies: Dict[str, PackageBody] #: Dictionary of all package bodies defined in a document. + _verificationUnits: Dict[str, VerificationUnit] #: Dictionary of all PSL verification units defined in a document. + _verificationProperties: Dict[str, VerificationProperty] #: Dictionary of all PSL verification properties defined in a document. + _verificationModes: Dict[str, VerificationMode] #: Dictionary of all PSL verification modes defined in a document. + + _dependencyVertex: Vertex[None, None, None, 'Document', None, None, None, None, None, None, None, None, None, None, None, None, None] #: Reference to the vertex in the dependency graph representing the document. |br| This reference is set by :meth:`~pyVHDLModel.Design.CreateCompileOrderGraph`. + _compileOrderVertex: Vertex[None, None, None, 'Document', None, None, None, None, None, None, None, None, None, None, None, None, None] #: Reference to the vertex in the compile-order graph representing the document. |br| This reference is set by :meth:`~pyVHDLModel.Design.CreateCompileOrderGraph`. + +
+[docs] + def __init__(self, path: Path, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(parent) + DocumentedEntityMixin.__init__(self, documentation) + + self._path = path + self._designUnits = [] + self._contexts = {} + self._configurations = {} + self._entities = {} + self._architectures = {} + self._packages = {} + self._packageBodies = {} + self._verificationUnits = {} + self._verificationProperties = {} + self._verificationModes = {} + + self._dependencyVertex = None + self._compileOrderVertex = None
+ + +
+[docs] + def _AddEntity(self, item: Entity) -> None: + """ + Add an entity to the document's lists of design units. + + :param item: Entity object to be added to the document. + :raises TypeError: If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Entity`. + :raises VHDLModelException: If entity name already exists in document. + """ + if not isinstance(item, Entity): + ex = TypeError(f"Parameter 'item' is not of type 'Entity'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + identifier = item._normalizedIdentifier + if identifier in self._entities: + # TODO: use a more specific exception + raise VHDLModelException(f"An entity '{item._identifier}' already exists in this document.") + + self._entities[identifier] = item + self._designUnits.append(item) + item._document = self
+ + +
+[docs] + def _AddArchitecture(self, item: Architecture) -> None: + """ + Add an architecture to the document's lists of design units. + + :param item: Architecture object to be added to the document. + :raises TypeError: If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Architecture`. + :raises VHDLModelException: If architecture name already exists for the referenced entity name in document. + """ + if not isinstance(item, Architecture): + ex = TypeError(f"Parameter 'item' is not of type 'Architecture'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + entity = item._entity.Name + entityIdentifier = entity._normalizedIdentifier + try: + architectures = self._architectures[entityIdentifier] + if item._normalizedIdentifier in architectures: + # TODO: use a more specific exception + # FIXME: this is allowed and should be a warning or a strict mode. + raise VHDLModelException(f"An architecture '{item._identifier}' for entity '{entity._identifier}' already exists in this document.") + + architectures[item.Identifier] = item + except KeyError: + self._architectures[entityIdentifier] = {item._identifier: item} + + self._designUnits.append(item) + item._document = self
+ + +
+[docs] + def _AddPackage(self, item: Package) -> None: + """ + Add a package to the document's lists of design units. + + :param item: Package object to be added to the document. + :raises TypeError: If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Package`. + :raises VHDLModelException: If package name already exists in document. + """ + if not isinstance(item, (Package, PackageInstantiation)): + ex = TypeError(f"Parameter 'item' is not of type 'Package' or 'PackageInstantiation'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + identifier = item._normalizedIdentifier + if identifier in self._packages: + # TODO: use a more specific exception + raise VHDLModelException(f"A package '{item._identifier}' already exists in this document.") + + self._packages[identifier] = item + self._designUnits.append(item) + item._document = self
+ + +
+[docs] + def _AddPackageBody(self, item: PackageBody) -> None: + """ + Add a package body to the document's lists of design units. + + :param item: Package body object to be added to the document. + :raises TypeError: If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.PackageBody`. + :raises VHDLModelException: If package body name already exists in document. + """ + if not isinstance(item, PackageBody): + ex = TypeError(f"Parameter 'item' is not of type 'PackageBody'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + identifier = item._normalizedIdentifier + if identifier in self._packageBodies: + # TODO: use a more specific exception + raise VHDLModelException(f"A package body '{item._identifier}' already exists in this document.") + + self._packageBodies[identifier] = item + self._designUnits.append(item) + item._document = self
+ + +
+[docs] + def _AddContext(self, item: Context) -> None: + """ + Add a context to the document's lists of design units. + + :param item: Context object to be added to the document. + :raises TypeError: If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Context`. + :raises VHDLModelException: If context name already exists in document. + """ + if not isinstance(item, Context): + ex = TypeError(f"Parameter 'item' is not of type 'Context'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + identifier = item._normalizedIdentifier + if identifier in self._contexts: + # TODO: use a more specific exception + raise VHDLModelException(f"A context '{item._identifier}' already exists in this document.") + + self._contexts[identifier] = item + self._designUnits.append(item) + item._document = self
+ + +
+[docs] + def _AddConfiguration(self, item: Configuration) -> None: + """ + Add a configuration to the document's lists of design units. + + :param item: Configuration object to be added to the document. + :raises TypeError: If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Configuration`. + :raises VHDLModelException: If configuration name already exists in document. + """ + if not isinstance(item, Configuration): + ex = TypeError(f"Parameter 'item' is not of type 'Configuration'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + identifier = item._normalizedIdentifier + if identifier in self._configurations: + # TODO: use a more specific exception + raise VHDLModelException(f"A configuration '{item._identifier}' already exists in this document.") + + self._configurations[identifier] = item + self._designUnits.append(item) + item._document = self
+ + + def _AddVerificationUnit(self, item: VerificationUnit) -> None: + if not isinstance(item, VerificationUnit): + ex = TypeError(f"Parameter 'item' is not of type 'VerificationUnit'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + identifier = item._normalizedIdentifier + if identifier in self._verificationUnits: + raise ValueError(f"A verification unit '{item._identifier}' already exists in this document.") + + self._verificationUnits[identifier] = item + self._designUnits.append(item) + item._document = self + + def _AddVerificationProperty(self, item: VerificationProperty) -> None: + if not isinstance(item, VerificationProperty): + ex = TypeError(f"Parameter 'item' is not of type 'VerificationProperty'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + identifier = item.NormalizedIdentifier + if identifier in self._verificationProperties: + raise ValueError(f"A verification property '{item.Identifier}' already exists in this document.") + + self._verificationProperties[identifier] = item + self._designUnits.append(item) + item._document = self + + def _AddVerificationMode(self, item: VerificationMode) -> None: + if not isinstance(item, VerificationMode): + ex = TypeError(f"Parameter 'item' is not of type 'VerificationMode'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + identifier = item.NormalizedIdentifier + if identifier in self._verificationModes: + raise ValueError(f"A verification mode '{item.Identifier}' already exists in this document.") + + self._verificationModes[identifier] = item + self._designUnits.append(item) + item._document = self + +
+[docs] + def _AddDesignUnit(self, item: DesignUnit) -> None: + """ + Add a design unit to the document's lists of design units. + + :param item: Configuration object to be added to the document. + :raises TypeError: If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.DesignUnit`. + :raises ValueError: If parameter 'item' is an unknown :class:`~pyVHDLModel.DesignUnits.DesignUnit`. + :raises VHDLModelException: If configuration name already exists in document. + """ + if not isinstance(item, DesignUnit): + ex = TypeError(f"Parameter 'item' is not of type 'DesignUnit'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex + + if isinstance(item, Entity): + self._AddEntity(item) + elif isinstance(item, Architecture): + self._AddArchitecture(item) + elif isinstance(item, Package): + self._AddPackage(item) + elif isinstance(item, PackageBody): + self._AddPackageBody(item) + elif isinstance(item, Context): + self._AddContext(item) + elif isinstance(item, Configuration): + self._AddConfiguration(item) + elif isinstance(item, VerificationUnit): + self._AddVerificationUnit(item) + elif isinstance(item, VerificationProperty): + self._AddVerificationProperty(item) + elif isinstance(item, VerificationMode): + self._AddVerificationMode(item) + else: + ex = ValueError(f"Parameter 'item' is an unknown 'DesignUnit'.") + if version_info >= (3, 11): # pragma: no cover + ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.") + raise ex
+ + + @readonly + def Path(self) -> Path: + """ + Read-only property to access the document's path (:attr:`_path`). + + :returns: The path of this document. + """ + return self._path + + @readonly + def DesignUnits(self) -> List[DesignUnit]: + """ + Read-only property to access a list of all design units declarations found in this document (:attr:`_designUnits`). + + :returns: List of all design units. + """ + return self._designUnits + + @readonly + def Contexts(self) -> Dict[str, Context]: + """ + Read-only property to access a list of all context declarations found in this document (:attr:`_contexts`). + + :returns: List of all contexts. + """ + return self._contexts + + @readonly + def Configurations(self) -> Dict[str, Configuration]: + """ + Read-only property to access a list of all configuration declarations found in this document (:attr:`_configurations`). + + :returns: List of all configurations. + """ + return self._configurations + + @readonly + def Entities(self) -> Dict[str, Entity]: + """ + Read-only property to access a list of all entity declarations found in this document (:attr:`_entities`). + + :returns: List of all entities. + """ + return self._entities + + @readonly + def Architectures(self) -> Dict[str, Dict[str, Architecture]]: + """ + Read-only property to access a list of all architecture declarations found in this document (:attr:`_architectures`). + + :returns: List of all architectures. + """ + return self._architectures + + @readonly + def Packages(self) -> Dict[str, Package]: + """ + Read-only property to access a list of all package declarations found in this document (:attr:`_packages`). + + :returns: List of all packages. + """ + return self._packages + + @readonly + def PackageBodies(self) -> Dict[str, PackageBody]: + """ + Read-only property to access a list of all package body declarations found in this document (:attr:`_packageBodies`). + + :returns: List of all package bodies. + """ + return self._packageBodies + + @readonly + def VerificationUnits(self) -> Dict[str, VerificationUnit]: + """ + Read-only property to access a list of all verification unit declarations found in this document (:attr:`_verificationUnits`). + + :returns: List of all verification units. + """ + return self._verificationUnits + + @readonly + def VerificationProperties(self) -> Dict[str, VerificationProperty]: + """ + Read-only property to access a list of all verification properties declarations found in this document (:attr:`_verificationProperties`). + + :returns: List of all verification properties. + """ + return self._verificationProperties + + @readonly + def VerificationModes(self) -> Dict[str, VerificationMode]: + """ + Read-only property to access a list of all verification modes declarations found in this document (:attr:`_verificationModes`). + + :returns: List of all verification modes. + """ + return self._verificationModes + + @readonly + def CompileOrderVertex(self) -> Vertex[None, None, None, 'Document', None, None, None, None, None, None, None, None, None, None, None, None, None]: + """ + Read-only property to access the corresponding compile-order vertex (:attr:`_compileOrderVertex`). + + The compile-order vertex references this document by its value field. + + :returns: The corresponding compile-order vertex. + """ + return self._compileOrderVertex + +
+[docs] + def IterateDesignUnits(self, filter: DesignUnitKind = DesignUnitKind.All) -> Generator[DesignUnit, None, None]: + """ + Iterate all design units in the document. + + A union of :class:`DesignUnitKind` values can be given to filter the returned result for suitable design units. + + .. rubric:: Algorithm + + * If contexts are selected in the filter: + + 1. Iterate all contexts in that library. + + * If packages are selected in the filter: + + 1. Iterate all packages in that library. + + * If package bodies are selected in the filter: + + 1. Iterate all package bodies in that library. + + * If entites are selected in the filter: + + 1. Iterate all entites in that library. + + * If architectures are selected in the filter: + + 1. Iterate all architectures in that library. + + * If configurations are selected in the filter: + + 1. Iterate all configurations in that library. + + :param filter: An enumeration with possibly multiple flags to filter the returned design units. + :returns: A generator to iterate all matched design units in the document. + + .. seealso:: + + :meth:`pyVHDLModel.Design.IterateDesignUnits` + Iterate all design units in the design. + :meth:`pyVHDLModel.Library.IterateDesignUnits` + Iterate all design units in the library. + """ + if DesignUnitKind.Context in filter: + for context in self._contexts.values(): + yield context + + if DesignUnitKind.Package in filter: + for package in self._packages.values(): + yield package + + if DesignUnitKind.PackageBody in filter: + for packageBody in self._packageBodies.values(): + yield packageBody + + if DesignUnitKind.Entity in filter: + for entity in self._entities.values(): + yield entity + + if DesignUnitKind.Architecture in filter: + for architectures in self._architectures.values(): + for architecture in architectures.values(): + yield architecture + + if DesignUnitKind.Configuration in filter: + for configuration in self._configurations.values(): + yield configuration
+ + + # for verificationProperty in self._verificationUnits.values(): + # yield verificationProperty + # for verificationUnit in self._verificationProperties.values(): + # yield entity + # for verificationMode in self._verificationModes.values(): + # yield verificationMode + +
+[docs] + def __repr__(self) -> str: + """ + Formats a representation of the document. + + **Format:** ``Document: 'path/to/file.vhdl'`` + + :returns: String representation of the document. + """ + return f"Document: '{self._path}'"
+ + + __str__ = __repr__
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Association.html b/_modules/pyVHDLModel/Association.html new file mode 100644 index 000000000..5afac2c97 --- /dev/null +++ b/_modules/pyVHDLModel/Association.html @@ -0,0 +1,276 @@ + + + + + + pyVHDLModel.Association — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Association

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Associations are used in generic maps, port maps and parameter maps.
+"""
+from typing               import Optional as Nullable, Union
+
+from pyTooling.Decorators import export, readonly
+
+from pyVHDLModel.Base       import ModelEntity
+from pyVHDLModel.Symbol     import Symbol
+from pyVHDLModel.Expression import BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal
+
+
+ExpressionUnion = Union[
+	BaseExpression,
+	QualifiedExpression,
+	FunctionCall,
+	TypeConversion,
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	Literal,
+]
+
+
+
+[docs] +@export +class AssociationItem(ModelEntity): + """ + A base-class for all association items. + """ + + _formal: Nullable[Symbol] + _actual: ExpressionUnion + +
+[docs] + def __init__(self, actual: ExpressionUnion, formal: Nullable[Symbol] = None) -> None: + super().__init__() + + self._formal = formal + if formal is not None: + formal._parent = self + + self._actual = actual
+ + # actual._parent = self # FIXME: actual is provided as None + + @readonly + def Formal(self) -> Nullable[Symbol]: # TODO: can also be a conversion function !! + return self._formal + + @readonly + def Actual(self) -> ExpressionUnion: + return self._actual + +
+[docs] + def __str__(self) -> str: + if self._formal is None: + return str(self._actual) + else: + return f"{self._formal!s} => {self._actual!s}"
+
+ + + +
+[docs] +@export +class GenericAssociationItem(AssociationItem): + """ + A base-class for all generic association items used in generic map aspects. + """
+ + + +
+[docs] +@export +class PortAssociationItem(AssociationItem): + """ + A base-class for all port association items used in port map aspects. + """
+ + + +
+[docs] +@export +class ParameterAssociationItem(AssociationItem): + """ + A base-class for all parameter association items used in parameter map aspects. + """
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Base.html b/_modules/pyVHDLModel/Base.html new file mode 100644 index 000000000..f7e0b4102 --- /dev/null +++ b/_modules/pyVHDLModel/Base.html @@ -0,0 +1,704 @@ + + + + + + pyVHDLModel.Base — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Base

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Base-classes for the VHDL language model.
+"""
+from enum                  import unique, Enum
+from typing                import Type, Tuple, Iterable, Optional as Nullable, Union, cast
+
+from pyTooling.Decorators  import export, readonly
+from pyTooling.MetaClasses import ExtendedType
+
+
+__all__ = ["ExpressionUnion"]
+
+
+ExpressionUnion = Union[
+	'BaseExpression',
+	'QualifiedExpression',
+	'FunctionCall',
+	'TypeConversion',
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	'Literal',
+]
+
+
+
+[docs] +@export +@unique +class Direction(Enum): + """An enumeration representing a direction in a range (``to`` or ``downto``).""" + + To = 0 #: Ascending direction + DownTo = 1 #: Descending direction + +
+[docs] + def __str__(self) -> str: + """ + Formats the direction to ``to`` or ``downto``. + + :returns: Formatted direction. + """ + return ("to", "downto")[cast(int, self.value)] # TODO: check performance
+
+ + + +
+[docs] +@export +@unique +class Mode(Enum): + """ + A ``Mode`` is an enumeration. It represents the direction of data exchange (``in``, ``out``, ...) for objects in + generic, port or parameter lists. + + In case no *mode* is defined, ``Default`` is used, so the *mode* is inferred from context. + """ + + Default = 0 #: Mode not defined, thus it's context dependent. + In = 1 #: Input + Out = 2 #: Output + InOut = 3 #: Bi-directional + Buffer = 4 #: Buffered output + Linkage = 5 #: undocumented + +
+[docs] + def __str__(self) -> str: + """ + Formats the direction. + + :returns: Formatted direction. + """ + return ("", "in", "out", "inout", "buffer", "linkage")[cast(int, self.value)] # TODO: check performance
+
+ + + +
+[docs] +@export +class ModelEntity(metaclass=ExtendedType, slots=True): + """ + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + inheritance) and enumerations. + + Each entity in this model has a reference to its parent entity. Therefore, a protected variable :attr:`_parent` is + available and a readonly property :attr:`Parent`. + """ + + _parent: 'ModelEntity' #: Reference to a parent entity in the logical model hierarchy. + +
+[docs] + def __init__(self, parent: Nullable["ModelEntity"] = None) -> None: + """ + Initializes a VHDL model entity. + + :param parent: The parent model entity of this entity. + """ + self._parent = parent
+ + + @readonly + def Parent(self) -> 'ModelEntity': + """ + Read-only property to access the model entity's parent element reference in a logical hierarchy (:attr:`_parent`). + + :returns: Reference to the parent entity. + """ + return self._parent + + def GetAncestor(self, type: Type) -> 'ModelEntity': + parent = self._parent + while not isinstance(parent, type): + parent = parent._parent + + return parent
+ + + +
+[docs] +@export +class NamedEntityMixin(metaclass=ExtendedType, mixin=True): + """ + A ``NamedEntityMixin`` is a mixin class for all VHDL entities that have identifiers. + + Protected variables :attr:`_identifier` and :attr:`_normalizedIdentifier` are available to derived classes as well as + two readonly properties :attr:`Identifier` and :attr:`NormalizedIdentifier` for public access. + """ + + _identifier: str #: The identifier of a model entity. + _normalizedIdentifier: str #: The normalized (lower case) identifier of a model entity. + +
+[docs] + def __init__(self, identifier: str) -> None: + """ + Initializes a named entity. + + :param identifier: Identifier (name) of the model entity. + """ + self._identifier = identifier + self._normalizedIdentifier = identifier.lower()
+ + + @readonly + def Identifier(self) -> str: + """ + Returns a model entity's identifier (name). + + :returns: Name of a model entity. + """ + return self._identifier + + @readonly + def NormalizedIdentifier(self) -> str: + """ + Returns a model entity's normalized identifier (lower case name). + + :returns: Normalized name of a model entity. + """ + return self._normalizedIdentifier
+ + + +
+[docs] +@export +class MultipleNamedEntityMixin(metaclass=ExtendedType, mixin=True): + """ + A ``MultipleNamedEntityMixin`` is a mixin class for all VHDL entities that declare multiple instances at once by + defining multiple identifiers. + + Protected variables :attr:`_identifiers` and :attr:`_normalizedIdentifiers` are available to derived classes as well + as two readonly properties :attr:`Identifiers` and :attr:`NormalizedIdentifiers` for public access. + """ + + _identifiers: Tuple[str] #: A list of identifiers. + _normalizedIdentifiers: Tuple[str] #: A list of normalized (lower case) identifiers. + +
+[docs] + def __init__(self, identifiers: Iterable[str]) -> None: + """ + Initializes a multiple-named entity. + + :param identifiers: Sequence of identifiers (names) of the model entity. + """ + self._identifiers = tuple(identifiers) + self._normalizedIdentifiers = tuple([identifier.lower() for identifier in identifiers])
+ + + @readonly + def Identifiers(self) -> Tuple[str]: + """ + Returns a model entity's tuple of identifiers (names). + + :returns: Tuple of identifiers. + """ + return self._identifiers + + @readonly + def NormalizedIdentifiers(self) -> Tuple[str]: + """ + Returns a model entity's tuple of normalized identifiers (lower case names). + + :returns: Tuple of normalized identifiers. + """ + return self._normalizedIdentifiers
+ + + +
+[docs] +@export +class LabeledEntityMixin(metaclass=ExtendedType, mixin=True): + """ + A ``LabeledEntityMixin`` is a mixin class for all VHDL entities that can have labels. + + protected variables :attr:`_label` and :attr:`_normalizedLabel` are available to derived classes as well as two + readonly properties :attr:`Label` and :attr:`NormalizedLabel` for public access. + """ + _label: Nullable[str] #: The label of a model entity. + _normalizedLabel: Nullable[str] #: The normalized (lower case) label of a model entity. + +
+[docs] + def __init__(self, label: Nullable[str]) -> None: + """ + Initializes a labeled entity. + + :param label: Label of the model entity. + """ + self._label = label + self._normalizedLabel = label.lower() if label is not None else None
+ + + @readonly + def Label(self) -> Nullable[str]: + """ + Returns a model entity's label. + + :returns: Label of a model entity. + """ + return self._label + + @readonly + def NormalizedLabel(self) -> Nullable[str]: + """ + Returns a model entity's normalized (lower case) label. + + :returns: Normalized label of a model entity. + """ + return self._normalizedLabel
+ + + +
+[docs] +@export +class DocumentedEntityMixin(metaclass=ExtendedType, mixin=True): + """ + A ``DocumentedEntityMixin`` is a mixin class for all VHDL entities that can have an associated documentation. + + A protected variable :attr:`_documentation` is available to derived classes as well as a readonly property + :attr:`Documentation` for public access. + """ + + _documentation: Nullable[str] #: The associated documentation of a model entity. + +
+[docs] + def __init__(self, documentation: Nullable[str]) -> None: + """ + Initializes a documented entity. + + :param documentation: Documentation of a model entity. + """ + self._documentation = documentation
+ + + @readonly + def Documentation(self) -> Nullable[str]: + """ + Returns a model entity's associated documentation. + + :returns: Associated documentation of a model entity. + """ + return self._documentation
+ + + +
+[docs] +@export +class ConditionalMixin(metaclass=ExtendedType, mixin=True): + """A ``ConditionalMixin`` is a mixin-class for all statements with a condition.""" + + _condition: ExpressionUnion + +
+[docs] + def __init__(self, condition: Nullable[ExpressionUnion] = None) -> None: + """ + Initializes a statement with a condition. + + When the condition is not None, the condition's parent reference is set to this statement. + + :param condition: The expression representing the condition. + """ + self._condition = condition + if condition is not None: + condition._parent = self
+ + + @readonly + def Condition(self) -> ExpressionUnion: + """ + Read-only property to access the condition of a statement (:attr:`_condition`). + + :returns: The expression representing the condition of a statement. + """ + return self._condition
+ + + +
+[docs] +@export +class BranchMixin(metaclass=ExtendedType, mixin=True): + """A ``BranchMixin`` is a mixin-class for all statements with branches.""" + +
+[docs] + def __init__(self) -> None: + pass
+
+ + + +
+[docs] +@export +class ConditionalBranchMixin(BranchMixin, ConditionalMixin, mixin=True): + """A ``BaseBranch`` is a mixin-class for all branch statements with a condition.""" +
+[docs] + def __init__(self, condition: ExpressionUnion) -> None: + super().__init__() + ConditionalMixin.__init__(self, condition)
+
+ + + +
+[docs] +@export +class IfBranchMixin(ConditionalBranchMixin, mixin=True): + """A ``BaseIfBranch`` is a mixin-class for all if-branches."""
+ + + +
+[docs] +@export +class ElsifBranchMixin(ConditionalBranchMixin, mixin=True): + """A ``BaseElsifBranch`` is a mixin-class for all elsif-branches."""
+ + + +
+[docs] +@export +class ElseBranchMixin(BranchMixin, mixin=True): + """A ``BaseElseBranch`` is a mixin-class for all else-branches."""
+ + + +
+[docs] +@export +class ReportStatementMixin(metaclass=ExtendedType, mixin=True): + """A ``MixinReportStatement`` is a mixin-class for all report and assert statements.""" + + _message: Nullable[ExpressionUnion] + _severity: Nullable[ExpressionUnion] + +
+[docs] + def __init__(self, message: Nullable[ExpressionUnion] = None, severity: Nullable[ExpressionUnion] = None) -> None: + self._message = message + if message is not None: + message._parent = self + + self._severity = severity + if severity is not None: + severity._parent = self
+ + + @property + def Message(self) -> Nullable[ExpressionUnion]: + return self._message + + @property + def Severity(self) -> Nullable[ExpressionUnion]: + return self._severity
+ + + +
+[docs] +@export +class AssertStatementMixin(ReportStatementMixin, ConditionalMixin, mixin=True): + """A ``MixinAssertStatement`` is a mixin-class for all assert statements.""" + +
+[docs] + def __init__(self, condition: ExpressionUnion, message: Nullable[ExpressionUnion] = None, severity: Nullable[ExpressionUnion] = None) -> None: + super().__init__(message, severity) + ConditionalMixin.__init__(self, condition)
+
+ + + +class BlockStatementMixin(metaclass=ExtendedType, mixin=True): + """A ``BlockStatement`` is a mixin-class for all block statements.""" + + def __init__(self) -> None: + pass + + +
+[docs] +@export +class BaseChoice(ModelEntity): + """A ``Choice`` is a base-class for all choices."""
+ + + +
+[docs] +@export +class BaseCase(ModelEntity): + """ + A ``Case`` is a base-class for all cases. + """
+ + + +
+[docs] +@export +class Range(ModelEntity): + _leftBound: ExpressionUnion + _rightBound: ExpressionUnion + _direction: Direction + +
+[docs] + def __init__(self, leftBound: ExpressionUnion, rightBound: ExpressionUnion, direction: Direction, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._leftBound = leftBound + leftBound._parent = self + + self._rightBound = rightBound + rightBound._parent = self + + self._direction = direction
+ + + @property + def LeftBound(self) -> ExpressionUnion: + return self._leftBound + + @property + def RightBound(self) -> ExpressionUnion: + return self._rightBound + + @property + def Direction(self) -> Direction: + return self._direction + +
+[docs] + def __str__(self) -> str: + return f"{self._leftBound!s} {self._direction!s} {self._rightBound!s}"
+
+ + + +
+[docs] +@export +class WaveformElement(ModelEntity): + _expression: ExpressionUnion + _after: ExpressionUnion + +
+[docs] + def __init__(self, expression: ExpressionUnion, after: Nullable[ExpressionUnion] = None, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._expression = expression + expression._parent = self + + self._after = after + if after is not None: + after._parent = self
+ + + @property + def Expression(self) -> ExpressionUnion: + return self._expression + + @property + def After(self) -> Expression: + return self._after
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Common.html b/_modules/pyVHDLModel/Common.html new file mode 100644 index 000000000..bf3ae7575 --- /dev/null +++ b/_modules/pyVHDLModel/Common.html @@ -0,0 +1,305 @@ + + + + + + pyVHDLModel.Common — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Common

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Common definitions and Mixins are used by many classes in the model as base-classes.
+"""
+from typing                  import List, Iterable, Union, Optional as Nullable
+
+from pyTooling.Decorators    import export, readonly
+from pyTooling.MetaClasses   import ExtendedType
+
+from pyVHDLModel.Base        import ModelEntity, LabeledEntityMixin
+from pyVHDLModel.Expression  import BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal
+from pyVHDLModel.Symbol      import Symbol
+from pyVHDLModel.Association import ParameterAssociationItem
+
+
+ExpressionUnion = Union[
+	BaseExpression,
+	QualifiedExpression,
+	FunctionCall,
+	TypeConversion,
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	Literal,
+]
+
+
+
+[docs] +@export +class Statement(ModelEntity, LabeledEntityMixin): + """ + A ``Statement`` is a base-class for all statements. + """ +
+[docs] + def __init__(self, label: Nullable[str] = None, parent=None) -> None: + super().__init__(parent) + LabeledEntityMixin.__init__(self, label)
+
+ + + +
+[docs] +@export +class ProcedureCallMixin(metaclass=ExtendedType, mixin=True): + _procedure: Symbol # TODO: implement a ProcedureSymbol + _parameterMappings: List[ParameterAssociationItem] + +
+[docs] + def __init__(self, procedureName: Symbol, parameterMappings: Nullable[Iterable[ParameterAssociationItem]] = None) -> None: + self._procedure = procedureName + procedureName._parent = self + + # TODO: extract to mixin + self._parameterMappings = [] + if parameterMappings is not None: + for parameterMapping in parameterMappings: + self._parameterMappings.append(parameterMapping) + parameterMapping._parent = self
+ + + @readonly + def Procedure(self) -> Symbol: + return self._procedure + + @property + def ParameterMappings(self) -> List[ParameterAssociationItem]: + return self._parameterMappings
+ + + +
+[docs] +@export +class AssignmentMixin(metaclass=ExtendedType, mixin=True): + """A mixin-class for all assignment statements.""" + + _target: Symbol + +
+[docs] + def __init__(self, target: Symbol) -> None: + self._target = target + target._parent = self
+ + + @property + def Target(self) -> Symbol: + return self._target
+ + + +
+[docs] +@export +class SignalAssignmentMixin(AssignmentMixin, mixin=True): + """A mixin-class for all signal assignment statements."""
+ + + +
+[docs] +@export +class VariableAssignmentMixin(AssignmentMixin, mixin=True): + """A mixin-class for all variable assignment statements.""" + + # FIXME: move to sequential? + _expression: ExpressionUnion + +
+[docs] + def __init__(self, target: Symbol, expression: ExpressionUnion) -> None: + super().__init__(target) + + self._expression = expression + expression._parent = self
+ + + @property + def Expression(self) -> ExpressionUnion: + return self._expression
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Concurrent.html b/_modules/pyVHDLModel/Concurrent.html new file mode 100644 index 000000000..14473c546 --- /dev/null +++ b/_modules/pyVHDLModel/Concurrent.html @@ -0,0 +1,1198 @@ + + + + + + pyVHDLModel.Concurrent — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Concurrent

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Concurrent defines all concurrent statements used in entities, architectures, generates and block statements.
+"""
+from typing                  import List, Dict, Union, Iterable, Generator, Optional as Nullable
+
+from pyTooling.Decorators    import export, readonly
+from pyTooling.MetaClasses   import ExtendedType
+
+from pyVHDLModel.Base        import ModelEntity, LabeledEntityMixin, DocumentedEntityMixin, Range, BaseChoice, BaseCase, IfBranchMixin
+from pyVHDLModel.Base        import ElsifBranchMixin, ElseBranchMixin, AssertStatementMixin, BlockStatementMixin, WaveformElement
+from pyVHDLModel.Regions     import ConcurrentDeclarationRegionMixin
+from pyVHDLModel.Namespace   import Namespace
+from pyVHDLModel.Name        import Name
+from pyVHDLModel.Symbol      import ComponentInstantiationSymbol, EntityInstantiationSymbol, ArchitectureSymbol, ConfigurationInstantiationSymbol
+from pyVHDLModel.Expression  import BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal
+from pyVHDLModel.Association import AssociationItem, ParameterAssociationItem
+from pyVHDLModel.Interface   import PortInterfaceItemMixin
+from pyVHDLModel.Common      import Statement, ProcedureCallMixin, SignalAssignmentMixin
+from pyVHDLModel.Sequential  import SequentialStatement, SequentialStatementsMixin, SequentialDeclarationsMixin
+
+
+ExpressionUnion = Union[
+	BaseExpression,
+	QualifiedExpression,
+	FunctionCall,
+	TypeConversion,
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	Literal,
+]
+
+
+
+[docs] +@export +class ConcurrentStatement(Statement): + """A base-class for all concurrent statements."""
+ + + +
+[docs] +@export +class ConcurrentStatementsMixin(metaclass=ExtendedType, mixin=True): + """ + A mixin-class for all language constructs supporting concurrent statements. + + .. seealso:: + + .. todo:: concurrent declaration region + """ + + _statements: List[ConcurrentStatement] + + _instantiations: Dict[str, 'Instantiation'] # TODO: add another instantiation class level for entity/configuration/component inst. + _blocks: Dict[str, 'ConcurrentBlockStatement'] + _generates: Dict[str, 'GenerateStatement'] + _hierarchy: Dict[str, Union['ConcurrentBlockStatement', 'GenerateStatement']] + +
+[docs] + def __init__(self, statements: Nullable[Iterable[ConcurrentStatement]] = None) -> None: + self._statements = [] + + self._instantiations = {} + self._blocks = {} + self._generates = {} + self._hierarchy = {} + + if statements is not None: + for statement in statements: + self._statements.append(statement) + statement._parent = self
+ + + @readonly + def Statements(self) -> List[ConcurrentStatement]: + return self._statements + + def IterateInstantiations(self) -> Generator['Instantiation', None, None]: + for instance in self._instantiations.values(): + yield instance + + for block in self._blocks.values(): + yield from block.IterateInstantiations() + + for generate in self._generates.values(): + yield from generate.IterateInstantiations() + + # TODO: move into _init__ + def IndexStatements(self) -> None: + for statement in self._statements: + if isinstance(statement, (EntityInstantiation, ComponentInstantiation, ConfigurationInstantiation)): + self._instantiations[statement.NormalizedLabel] = statement + elif isinstance(statement, (ForGenerateStatement, IfGenerateStatement, CaseGenerateStatement)): + self._generates[statement.NormalizedLabel] = statement + statement.IndexStatement() + elif isinstance(statement, ConcurrentBlockStatement): + self._hierarchy[statement.NormalizedLabel] = statement + statement.IndexStatements()
+ + + +
+[docs] +@export +class Instantiation(ConcurrentStatement): + """ + A base-class for all (component) instantiations. + """ + + _genericAssociations: List[AssociationItem] + _portAssociations: List[AssociationItem] + +
+[docs] + def __init__( + self, + label: str, + genericAssociations: Nullable[Iterable[AssociationItem]] = None, + portAssociations: Nullable[Iterable[AssociationItem]] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + + # TODO: extract to mixin + self._genericAssociations = [] + if genericAssociations is not None: + for association in genericAssociations: + self._genericAssociations.append(association) + association._parent = self + + # TODO: extract to mixin + self._portAssociations = [] + if portAssociations is not None: + for association in portAssociations: + self._portAssociations.append(association) + association._parent = self
+ + + @readonly + def GenericAssociations(self) -> List[AssociationItem]: + return self._genericAssociations + + @property + def PortAssociations(self) -> List[AssociationItem]: + return self._portAssociations
+ + + +
+[docs] +@export +class ComponentInstantiation(Instantiation): + """ + Represents a component instantiation by referring to a component name. + + .. admonition:: Example + + .. code-block:: VHDL + + inst : component Counter; + """ + + _component: ComponentInstantiationSymbol + +
+[docs] + def __init__( + self, + label: str, + componentSymbol: ComponentInstantiationSymbol, + genericAssociations: Nullable[Iterable[AssociationItem]] = None, + portAssociations: Nullable[Iterable[AssociationItem]] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, genericAssociations, portAssociations, parent) + + self._component = componentSymbol + componentSymbol._parent = self
+ + + @property + def Component(self) -> ComponentInstantiationSymbol: + return self._component
+ + + +
+[docs] +@export +class EntityInstantiation(Instantiation): + """ + Represents an entity instantiation by referring to an entity name with optional architecture name. + + .. admonition:: Example + + .. code-block:: VHDL + + inst : entity work. Counter; + """ + + _entity: EntityInstantiationSymbol + _architecture: ArchitectureSymbol + +
+[docs] + def __init__( + self, + label: str, + entitySymbol: EntityInstantiationSymbol, + architectureSymbol: Nullable[ArchitectureSymbol] = None, + genericAssociations: Nullable[Iterable[AssociationItem]] = None, + portAssociations: Nullable[Iterable[AssociationItem]] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, genericAssociations, portAssociations, parent) + + self._entity = entitySymbol + entitySymbol._parent = self + + self._architecture = architectureSymbol + if architectureSymbol is not None: + architectureSymbol._parent = self
+ + + @property + def Entity(self) -> EntityInstantiationSymbol: + return self._entity + + @property + def Architecture(self) -> ArchitectureSymbol: + return self._architecture
+ + + +
+[docs] +@export +class ConfigurationInstantiation(Instantiation): + """ + Represents a configuration instantiation by referring to a configuration name. + + .. admonition:: Example + + .. code-block:: VHDL + + inst : configuration Counter; + """ + + _configuration: ConfigurationInstantiationSymbol + +
+[docs] + def __init__( + self, + label: str, + configurationSymbol: ConfigurationInstantiationSymbol, + genericAssociations: Nullable[Iterable[AssociationItem]] = None, + portAssociations: Nullable[Iterable[AssociationItem]] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, genericAssociations, portAssociations, parent) + + self._configuration = configurationSymbol + configurationSymbol._parent = self
+ + + @property + def Configuration(self) -> ConfigurationInstantiationSymbol: + return self._configuration
+ + + +
+[docs] +@export +class ProcessStatement(ConcurrentStatement, SequentialDeclarationsMixin, SequentialStatementsMixin, DocumentedEntityMixin): + """ + Represents a process statement with sensitivity list, sequential declaration region and sequential statements. + + .. admonition:: Example + + .. code-block:: VHDL + + proc: process(Clock) + -- sequential declarations + begin + -- sequential statements + end process; + """ + + _sensitivityList: List[Name] # TODO: implement a SignalSymbol + +
+[docs] + def __init__( + self, + label: Nullable[str] = None, + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[SequentialStatement]] = None, + sensitivityList: Nullable[Iterable[Name]] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + SequentialDeclarationsMixin.__init__(self, declaredItems) + SequentialStatementsMixin.__init__(self, statements) + DocumentedEntityMixin.__init__(self, documentation) + + if sensitivityList is None: + self._sensitivityList = None + else: + self._sensitivityList = [] # TODO: convert to dict + for signalSymbol in sensitivityList: + self._sensitivityList.append(signalSymbol)
+ + # signalSymbol._parent = self # FIXME: currently str are provided + + @property + def SensitivityList(self) -> List[Name]: + return self._sensitivityList
+ + + +
+[docs] +@export +class ConcurrentProcedureCall(ConcurrentStatement, ProcedureCallMixin): +
+[docs] + def __init__( + self, + label: str, + procedureName: Name, + parameterMappings: Nullable[Iterable[ParameterAssociationItem]] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + ProcedureCallMixin.__init__(self, procedureName, parameterMappings)
+
+ + + +
+[docs] +@export +class ConcurrentBlockStatement(ConcurrentStatement, BlockStatementMixin, LabeledEntityMixin, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin, DocumentedEntityMixin): + _portItems: List[PortInterfaceItemMixin] + +
+[docs] + def __init__( + self, + label: str, + portItems: Nullable[Iterable[PortInterfaceItemMixin]] = None, + declaredItems: Nullable[Iterable] = None, + statements: Iterable['ConcurrentStatement'] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + BlockStatementMixin.__init__(self) + LabeledEntityMixin.__init__(self, label) + ConcurrentDeclarationRegionMixin.__init__(self, declaredItems) + ConcurrentStatementsMixin.__init__(self, statements) + DocumentedEntityMixin.__init__(self, documentation) + + # TODO: extract to mixin + self._portItems = [] + if portItems is not None: + for item in portItems: + self._portItems.append(item) + item._parent = self
+ + + @property + def PortItems(self) -> List[PortInterfaceItemMixin]: + return self._portItems
+ + + +
+[docs] +@export +class GenerateBranch(ModelEntity, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin): + """ + A base-class for all branches in a generate statements. + + .. seealso:: + + * :class:`If-generate branch <pyVHDLModel.Concurrent.IfGenerateBranch>` + * :class:`Elsif-generate branch <pyVHDLModel.Concurrent.ElsifGenerateBranch>` + * :class:`Else-generate branch <pyVHDLModel.Concurrent.ElseGenerateBranch>` + """ + + _alternativeLabel: Nullable[str] + _normalizedAlternativeLabel: Nullable[str] + + _namespace: Namespace + +
+[docs] + def __init__( + self, + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[ConcurrentStatement]] = None, + alternativeLabel: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(parent) + ConcurrentDeclarationRegionMixin.__init__(self, declaredItems) + ConcurrentStatementsMixin.__init__(self, statements) + + self._alternativeLabel = alternativeLabel + self._normalizedAlternativeLabel = alternativeLabel.lower() if alternativeLabel is not None else None + + self._namespace = Namespace(self._normalizedAlternativeLabel)
+ + + @property + def AlternativeLabel(self) -> Nullable[str]: + return self._alternativeLabel + + @property + def NormalizedAlternativeLabel(self) -> Nullable[str]: + return self._normalizedAlternativeLabel
+ + + +
+[docs] +@export +class IfGenerateBranch(GenerateBranch, IfBranchMixin): + """ + Represents if-generate branch in a generate statement with a concurrent declaration region and concurrent statements. + + .. admonition:: Example + + .. code-block:: VHDL + + gen: if condition generate + -- concurrent declarations + begin + -- concurrent statements + elsif condition generate + -- ... + else generate + -- ... + end generate; + """ + +
+[docs] + def __init__( + self, + condition: ExpressionUnion, + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[ConcurrentStatement]] = None, + alternativeLabel: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(declaredItems, statements, alternativeLabel, parent) + IfBranchMixin.__init__(self, condition)
+
+ + + +
+[docs] +@export +class ElsifGenerateBranch(GenerateBranch, ElsifBranchMixin): + """ + Represents elsif-generate branch in a generate statement with a concurrent declaration region and concurrent statements. + + .. admonition:: Example + + .. code-block:: VHDL + + gen: if condition generate + -- ... + elsif condition generate + -- concurrent declarations + begin + -- concurrent statements + else generate + -- ... + end generate; + """ + +
+[docs] + def __init__( + self, + condition: ExpressionUnion, + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[ConcurrentStatement]] = None, + alternativeLabel: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(declaredItems, statements, alternativeLabel, parent) + ElsifBranchMixin.__init__(self, condition)
+
+ + + +
+[docs] +@export +class ElseGenerateBranch(GenerateBranch, ElseBranchMixin): + """ + Represents else-generate branch in a generate statement with a concurrent declaration region and concurrent statements. + + .. admonition:: Example + + .. code-block:: VHDL + + gen: if condition generate + -- ... + elsif condition generate + -- ... + else generate + -- concurrent declarations + begin + -- concurrent statements + end generate; + """ + +
+[docs] + def __init__( + self, + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[ConcurrentStatement]] = None, + alternativeLabel: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(declaredItems, statements, alternativeLabel, parent) + ElseBranchMixin.__init__(self)
+
+ + + +
+[docs] +@export +class GenerateStatement(ConcurrentStatement): + """ + A base-class for all generate statements. + + .. seealso:: + + * :class:`If...generate statement <pyVHDLModel.Concurrent.IfGenerateStatement>` + * :class:`Case...generate statement <pyVHDLModel.Concurrent.CaseGenerateStatement>` + * :class:`For...generate statement <pyVHDLModel.Concurrent.ForGenerateStatement>` + """ + + _namespace: Namespace + +
+[docs] + def __init__( + self, + label: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + + self._namespace = Namespace(self._normalizedLabel)
+ + + # @mustoverride + def IterateInstantiations(self) -> Generator[Instantiation, None, None]: + raise NotImplementedError() + + # @mustoverride + def IndexStatement(self) -> None: + raise NotImplementedError()
+ + + +
+[docs] +@export +class IfGenerateStatement(GenerateStatement): + """ + Represents an if...generate statement. + + .. admonition:: Example + + .. code-block:: VHDL + + gen: if condition generate + -- ... + elsif condition generate + -- ... + else generate + -- ... + end generate; + + .. seealso:: + + * :class:`Generate branch <pyVHDLModel.Concurrent.GenerateBranch>` base-class + * :class:`If-generate branch <pyVHDLModel.Concurrent.IfGenerateBranch>` + * :class:`Elsif-generate branch <pyVHDLModel.Concurrent.ElsifGenerateBranch>` + * :class:`Else-generate branch <pyVHDLModel.Concurrent.ElseGenerateBranch>` + """ + + _ifBranch: IfGenerateBranch + _elsifBranches: List[ElsifGenerateBranch] + _elseBranch: Nullable[ElseGenerateBranch] + +
+[docs] + def __init__( + self, + label: str, + ifBranch: IfGenerateBranch, + elsifBranches: Nullable[Iterable[ElsifGenerateBranch]] = None, + elseBranch: Nullable[ElseGenerateBranch] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + + self._ifBranch = ifBranch + ifBranch._parent = self + + self._elsifBranches = [] + if elsifBranches is not None: + for branch in elsifBranches: + self._elsifBranches.append(branch) + branch._parent = self + + if elseBranch is not None: + self._elseBranch = elseBranch + elseBranch._parent = self + else: + self._elseBranch = None
+ + + @property + def IfBranch(self) -> IfGenerateBranch: + return self._ifBranch + + @property + def ElsifBranches(self) -> List[ElsifGenerateBranch]: + return self._elsifBranches + + @property + def ElseBranch(self) -> Nullable[ElseGenerateBranch]: + return self._elseBranch + + def IterateInstantiations(self) -> Generator[Instantiation, None, None]: + yield from self._ifBranch.IterateInstantiations() + for branch in self._elsifBranches: + yield from branch.IterateInstantiations() + if self._elseBranch is not None: + yield from self._ifBranch.IterateInstantiations() + + def IndexStatement(self) -> None: + self._ifBranch.IndexStatements() + for branch in self._elsifBranches: + branch.IndexStatements() + if self._elseBranch is not None: + self._elseBranch.IndexStatements()
+ + + +
+[docs] +@export +class ConcurrentChoice(BaseChoice): + """A base-class for all concurrent choices (in case...generate statements)."""
+ + + +
+[docs] +@export +class IndexedGenerateChoice(ConcurrentChoice): + _expression: ExpressionUnion + +
+[docs] + def __init__(self, expression: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._expression = expression + expression._parent = self
+ + + @property + def Expression(self) -> ExpressionUnion: + return self._expression + +
+[docs] + def __str__(self) -> str: + return str(self._expression)
+
+ + + +
+[docs] +@export +class RangedGenerateChoice(ConcurrentChoice): + _range: 'Range' + +
+[docs] + def __init__(self, rng: 'Range', parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._range = rng + rng._parent = self
+ + + @property + def Range(self) -> 'Range': + return self._range + +
+[docs] + def __str__(self) -> str: + return str(self._range)
+
+ + + +
+[docs] +@export +class ConcurrentCase(BaseCase, LabeledEntityMixin, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin): +
+[docs] + def __init__( + self, + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[ConcurrentStatement]] = None, + alternativeLabel: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(parent) + LabeledEntityMixin.__init__(self, alternativeLabel) + ConcurrentDeclarationRegionMixin.__init__(self, declaredItems) + ConcurrentStatementsMixin.__init__(self, statements)
+
+ + + +
+[docs] +@export +class GenerateCase(ConcurrentCase): + _choices: List[ConcurrentChoice] + +
+[docs] + def __init__( + self, + choices: Iterable[ConcurrentChoice], + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[ConcurrentStatement]] = None, + alternativeLabel: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(declaredItems, statements, alternativeLabel, parent) + + # TODO: move to parent or grandparent + self._choices = [] + if choices is not None: + for choice in choices: + self._choices.append(choice) + choice._parent = self
+ + + # TODO: move to parent or grandparent + @property + def Choices(self) -> List[ConcurrentChoice]: + return self._choices + +
+[docs] + def __str__(self) -> str: + return "when {choices} =>".format(choices=" | ".join(str(c) for c in self._choices))
+
+ + + +
+[docs] +@export +class OthersGenerateCase(ConcurrentCase): +
+[docs] + def __str__(self) -> str: + return "when others =>"
+
+ + + +
+[docs] +@export +class CaseGenerateStatement(GenerateStatement): + """ + Represents a case...generate statement. + + .. admonition:: Example + + .. code-block:: VHDL + + gen: case selector generate + case choice1 => + -- ... + case choice2 => + -- ... + case others => + -- ... + end generate; + """ + + _expression: ExpressionUnion + _cases: List[GenerateCase] + +
+[docs] + def __init__( + self, + label: str, + expression: ExpressionUnion, + cases: Iterable[ConcurrentCase], + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + + self._expression = expression + expression._parent = self + + # TODO: create a mixin for things with cases + self._cases = [] + if cases is not None: + for case in cases: + self._cases.append(case) + case._parent = self
+ + + @property + def SelectExpression(self) -> ExpressionUnion: + return self._expression + + @property + def Cases(self) -> List[GenerateCase]: + return self._cases + + def IterateInstantiations(self) -> Generator[Instantiation, None, None]: + for case in self._cases: + yield from case.IterateInstantiations() + + def IndexStatement(self) -> None: + for case in self._cases: + case.IndexStatements()
+ + + +
+[docs] +@export +class ForGenerateStatement(GenerateStatement, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin): + """ + Represents a for...generate statement. + + .. admonition:: Example + + .. code-block:: VHDL + + gen: for i in 0 to 3 generate + -- ... + end generate; + """ + + _loopIndex: str + _range: Range + +
+[docs] + def __init__( + self, + label: str, + loopIndex: str, + rng: Range, + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[ConcurrentStatement]] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + ConcurrentDeclarationRegionMixin.__init__(self, declaredItems) + ConcurrentStatementsMixin.__init__(self, statements) + + self._loopIndex = loopIndex + + self._range = rng + rng._parent = self
+ + + @property + def LoopIndex(self) -> str: + return self._loopIndex + + @property + def Range(self) -> Range: + return self._range + + # IndexDeclaredItems = ConcurrentStatements.IndexDeclaredItems + + def IndexStatement(self) -> None: + self.IndexStatements() + + def IndexStatements(self) -> None: + super().IndexStatements() + + def IterateInstantiations(self) -> Generator[Instantiation, None, None]: + return ConcurrentStatementsMixin.IterateInstantiations(self)
+ + + +
+[docs] +@export +class ConcurrentSignalAssignment(ConcurrentStatement, SignalAssignmentMixin): + """ + A base-class for concurrent signal assignments. + + .. seealso:: + + * :class:`~pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment` + * :class:`~pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment` + * :class:`~pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment` + """ +
+[docs] + def __init__(self, label: str, target: Name, parent: ModelEntity = None) -> None: + super().__init__(label, parent) + SignalAssignmentMixin.__init__(self, target)
+
+ + + +
+[docs] +@export +class ConcurrentSimpleSignalAssignment(ConcurrentSignalAssignment): + _waveform: List[WaveformElement] + +
+[docs] + def __init__(self, label: str, target: Name, waveform: Iterable[WaveformElement], parent: ModelEntity = None) -> None: + super().__init__(label, target, parent) + + # TODO: extract to mixin + self._waveform = [] + if waveform is not None: + for waveformElement in waveform: + self._waveform.append(waveformElement) + waveformElement._parent = self
+ + + @property + def Waveform(self) -> List[WaveformElement]: + return self._waveform
+ + + +
+[docs] +@export +class ConcurrentSelectedSignalAssignment(ConcurrentSignalAssignment): +
+[docs] + def __init__(self, label: str, target: Name, expression: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(label, target, parent)
+
+ + + +
+[docs] +@export +class ConcurrentConditionalSignalAssignment(ConcurrentSignalAssignment): +
+[docs] + def __init__(self, label: str, target: Name, expression: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(label, target, parent)
+
+ + + +
+[docs] +@export +class ConcurrentAssertStatement(ConcurrentStatement, AssertStatementMixin): +
+[docs] + def __init__( + self, + condition: ExpressionUnion, + message: ExpressionUnion, + severity: Nullable[ExpressionUnion] = None, + label: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + AssertStatementMixin.__init__(self, condition, message, severity)
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Declaration.html b/_modules/pyVHDLModel/Declaration.html new file mode 100644 index 000000000..94388a5f8 --- /dev/null +++ b/_modules/pyVHDLModel/Declaration.html @@ -0,0 +1,361 @@ + + + + + + pyVHDLModel.Declaration — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Declaration

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+
+"""
+from enum                   import unique, Enum
+from typing                 import List, Iterable, Union, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin, DocumentedEntityMixin
+from pyVHDLModel.Expression import BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal
+from pyVHDLModel.Name       import Name
+from pyVHDLModel.Symbol     import Symbol
+
+
+
+ExpressionUnion = Union[
+	BaseExpression,
+	QualifiedExpression,
+	FunctionCall,
+	TypeConversion,
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	Literal,
+]
+
+
+
+[docs] +@export +@unique +class EntityClass(Enum): + """An ``EntityClass`` is an enumeration. It represents a VHDL language entity class (``entity``, ``label``, ...).""" + + Entity = 0 #: Entity + Architecture = 1 #: Architecture + Configuration = 2 #: Configuration + Procedure = 3 #: Procedure + Function = 4 #: Function + Package = 5 #: Package + Type = 6 #: Type + Subtype = 7 #: Subtype + Constant = 8 #: Constant + Signal = 9 #: Signal + Variable = 10 #: Variable + Component = 11 #: Component + Label = 12 #: Label + Literal = 13 #: Literal + Units = 14 #: Units + Group = 15 #: Group + File = 16 #: File + Property = 17 #: Property + Sequence = 18 #: Sequence + View = 19 #: View + Others = 20 #: Others
+ + + +
+[docs] +@export +class Attribute(ModelEntity, NamedEntityMixin, DocumentedEntityMixin): + """ + Represents an attribute declaration. + + .. admonition:: Example + + .. code-block:: VHDL + + attribute TotalBits : natural; + """ + + _subtype: Symbol + +
+[docs] + def __init__( + self, + identifier: str, + subtype: Symbol, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(parent) + NamedEntityMixin.__init__(self, identifier) + DocumentedEntityMixin.__init__(self, documentation) + + self._subtype = subtype + subtype._parent = self
+ + + @readonly + def Subtype(self) -> None: + return self._subtype
+ + + +
+[docs] +@export +class AttributeSpecification(ModelEntity, DocumentedEntityMixin): + """ + Represents an attribute specification. + + .. admonition:: Example + + .. code-block:: VHDL + + attribute TotalBits of BusType : subtype is 32; + """ + + _identifiers: List[Name] + _attribute: Name + _entityClass: EntityClass + _expression: ExpressionUnion + +
+[docs] + def __init__( + self, + identifiers: Iterable[Name], + attribute: Name, + entityClass: EntityClass, + expression: ExpressionUnion, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(parent) + DocumentedEntityMixin.__init__(self, documentation) + + self._identifiers = [] # TODO: convert to dict + for identifier in identifiers: + self._identifiers.append(identifier) + identifier._parent = self + + self._attribute = attribute + attribute._parent = self + + self._entityClass = entityClass + + self._expression = expression + expression._parent = self
+ + + @readonly + def Identifiers(self) -> List[Name]: + return self._identifiers + + @readonly + def Attribute(self) -> Name: + return self._attribute + + @readonly + def EntityClass(self) -> EntityClass: + return self._entityClass + + @readonly + def Expression(self) -> ExpressionUnion: + return self._expression
+ + + +# TODO: move somewhere else +
+[docs] +@export +class Alias(ModelEntity, NamedEntityMixin, DocumentedEntityMixin): +
+[docs] + def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + """ + Initializes underlying ``BaseType``. + + :param identifier: Name of the type. + """ + super().__init__(parent) + NamedEntityMixin.__init__(self, identifier) + DocumentedEntityMixin.__init__(self, documentation)
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/DesignUnit.html b/_modules/pyVHDLModel/DesignUnit.html new file mode 100644 index 000000000..7e9791d5a --- /dev/null +++ b/_modules/pyVHDLModel/DesignUnit.html @@ -0,0 +1,1036 @@ + + + + + + pyVHDLModel.DesignUnit — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.DesignUnit

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Design units are contexts, entities, architectures, packages and their bodies as well as configurations.
+"""
+from typing import List, Dict, Union, Iterable, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+from pyTooling.Graph        import Vertex
+
+from pyVHDLModel.Exception  import VHDLModelException
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin, DocumentedEntityMixin
+from pyVHDLModel.Namespace  import Namespace
+from pyVHDLModel.Regions    import ConcurrentDeclarationRegionMixin
+from pyVHDLModel.Symbol     import Symbol, PackageSymbol, EntitySymbol, LibraryReferenceSymbol
+from pyVHDLModel.Interface  import GenericInterfaceItemMixin, PortInterfaceItemMixin
+from pyVHDLModel.Object     import DeferredConstant
+from pyVHDLModel.Concurrent import ConcurrentStatement, ConcurrentStatementsMixin
+
+
+
+[docs] +@export +class Reference(ModelEntity): + """ + A base-class for all references. + + .. seealso:: + + * :class:`~pyVHDLModel.DesignUnit.LibraryClause` + * :class:`~pyVHDLModel.DesignUnit.UseClause` + * :class:`~pyVHDLModel.DesignUnit.ContextReference` + """ + + _symbols: List[Symbol] + +
+[docs] + def __init__(self, symbols: Iterable[Symbol], parent: ModelEntity = None) -> None: + """ + Initializes a reference by taking a list of symbols and a parent reference. + + :param symbols: A list of symbols this reference references to. + :param parent: Reference to the logical parent in the model hierarchy. + """ + super().__init__(parent) + + self._symbols = [s for s in symbols]
+ + + @readonly + def Symbols(self) -> List[Symbol]: + """ + Read-only property to access the symbols this reference references to (:attr:`_symbols`). + + :returns: A list of symbols. + """ + return self._symbols
+ + + +
+[docs] +@export +class LibraryClause(Reference): + """ + Represents a library clause. + + .. admonition:: Example + + .. code-block:: VHDL + + library std, ieee; + """ + + @readonly + def Symbols(self) -> List[LibraryReferenceSymbol]: + """ + Read-only property to access the symbols this library clause references to (:attr:`_symbols`). + + :returns: A list of library reference symbols. + """ + return self._symbols
+ + + +
+[docs] +@export +class UseClause(Reference): + """ + Represents a use clause. + + .. admonition:: Example + + .. code-block:: VHDL + + use std.text_io.all, ieee.numeric_std.all; + """
+ + + +
+[docs] +@export +class ContextReference(Reference): + """ + Represents a context reference. + + .. hint:: It's called *context reference* not *context clause* by the LRM. + + .. admonition:: Example + + .. code-block:: VHDL + + context ieee.ieee_std_context; + """
+ + + +ContextUnion = Union[ + LibraryClause, + UseClause, + ContextReference +] + + +
+[docs] +@export +class DesignUnitWithContextMixin(metaclass=ExtendedType, mixin=True): + """ + A mixin-class for all design units with a context. + """
+ + + +
+[docs] +@export +class DesignUnit(ModelEntity, NamedEntityMixin, DocumentedEntityMixin): + """ + A base-class for all design units. + + .. seealso:: + + * :class:`Primary design units <pyVHDLModel.DesignUnit.PrimaryUnit>` + + * :class:`~pyVHDLModel.DesignUnit.Context` + * :class:`~pyVHDLModel.DesignUnit.Entity` + * :class:`~pyVHDLModel.DesignUnit.Package` + * :class:`~pyVHDLModel.DesignUnit.Configuration` + + * :class:`Secondary design units <pyVHDLModel.DesignUnit.SecondaryUnit>` + + * :class:`~pyVHDLModel.DesignUnit.Architecture` + * :class:`~pyVHDLModel.DesignUnit.PackageBody` + """ + + _document: 'Document' #: The VHDL library, the design unit was analyzed into. + + # Either written as statements before (e.g. entity, architecture, package, ...), or as statements inside (context) + _contextItems: List['ContextUnion'] #: List of all context items (library, use and context clauses). + _libraryReferences: List['LibraryClause'] #: List of library clauses. + _packageReferences: List['UseClause'] #: List of use clauses. + _contextReferences: List['ContextReference'] #: List of context clauses. + + _referencedLibraries: Dict[str, 'Library'] #: Referenced libraries based on explicit library clauses or implicit inheritance + _referencedPackages: Dict[str, Dict[str, 'Package']] #: Referenced packages based on explicit use clauses or implicit inheritance + _referencedContexts: Dict[str, 'Context'] #: Referenced contexts based on explicit context references or implicit inheritance + + _dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None] #: Reference to the vertex in the dependency graph representing the design unit. |br| This reference is set by :meth:`~pyVHDLModel.Design.CreateDependencyGraph`. + _hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None] #: The vertex in the hierarchy graph + + _namespace: 'Namespace' + +
+[docs] + def __init__(self, identifier: str, contextItems: Nullable[Iterable[ContextUnion]] = None, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + """ + Initializes a design unit. + + :param identifier: Identifier (name) of the design unit. + :param contextItems: A sequence of library, use or context clauses. + :param documentation: Associated documentation of the design unit. + :param parent: Reference to the logical parent in the model hierarchy. + """ + super().__init__(parent) + NamedEntityMixin.__init__(self, identifier) + DocumentedEntityMixin.__init__(self, documentation) + + self._document = None + + self._contextItems = [] + self._libraryReferences = [] + self._packageReferences = [] + self._contextReferences = [] + + if contextItems is not None: + for item in contextItems: + self._contextItems.append(item) + if isinstance(item, UseClause): + self._packageReferences.append(item) + elif isinstance(item, LibraryClause): + self._libraryReferences.append(item) + elif isinstance(item, ContextReference): + self._contextReferences.append(item) + + self._referencedLibraries = {} + self._referencedPackages = {} + self._referencedContexts = {} + + self._dependencyVertex = None + self._hierarchyVertex = None + + self._namespace = Namespace(self._normalizedIdentifier)
+ + + @readonly + def Document(self) -> 'Document': + return self._document + + @Document.setter + def Document(self, document: 'Document') -> None: + self._document = document + + @property + def Library(self) -> 'Library': + return self._parent + + @Library.setter + def Library(self, library: 'Library') -> None: + self._parent = library + + @property + def ContextItems(self) -> List['ContextUnion']: + """ + Read-only property to access the sequence of all context items comprising library, use and context clauses + (:attr:`_contextItems`). + + :returns: Sequence of context items. + """ + return self._contextItems + + @property + def ContextReferences(self) -> List['ContextReference']: + """ + Read-only property to access the sequence of context clauses (:attr:`_contextReferences`). + + :returns: Sequence of context clauses. + """ + return self._contextReferences + + @property + def LibraryReferences(self) -> List['LibraryClause']: + """ + Read-only property to access the sequence of library clauses (:attr:`_libraryReferences`). + + :returns: Sequence of library clauses. + """ + return self._libraryReferences + + @property + def PackageReferences(self) -> List['UseClause']: + """ + Read-only property to access the sequence of use clauses (:attr:`_packageReferences`). + + :returns: Sequence of use clauses. + """ + return self._packageReferences + + @property + def ReferencedLibraries(self) -> Dict[str, 'Library']: + return self._referencedLibraries + + @property + def ReferencedPackages(self) -> Dict[str, 'Package']: + return self._referencedPackages + + @property + def ReferencedContexts(self) -> Dict[str, 'Context']: + return self._referencedContexts + + @property + def DependencyVertex(self) -> Vertex: + """ + Read-only property to access the corresponding dependency vertex (:attr:`_dependencyVertex`). + + The dependency vertex references this design unit by its value field. + + :returns: The corresponding dependency vertex. + """ + return self._dependencyVertex + + @property + def HierarchyVertex(self) -> Vertex: + """ + Read-only property to access the corresponding hierarchy vertex (:attr:`_hierarchyVertex`). + + The hierarchy vertex references this design unit by its value field. + + :returns: The corresponding hierarchy vertex. + """ + return self._hierarchyVertex
+ + + +
+[docs] +@export +class PrimaryUnit(DesignUnit): + """ + A base-class for all primary design units. + + .. seealso:: + + * :class:`~pyVHDLModel.DesignUnit.Context` + * :class:`~pyVHDLModel.DesignUnit.Entity` + * :class:`~pyVHDLModel.DesignUnit.Package` + * :class:`~pyVHDLModel.DesignUnit.Configuration` + """
+ + + +
+[docs] +@export +class SecondaryUnit(DesignUnit): + """ + A base-class for all secondary design units. + + .. seealso:: + + * :class:`~pyVHDLModel.DesignUnit.Architecture` + * :class:`~pyVHDLModel.DesignUnit.PackageBody` + """
+ + + +
+[docs] +@export +class Context(PrimaryUnit): + """ + Represents a context declaration. + + A context contains a generic list of all its items (library clauses, use clauses and context references) in + :data:`_references`. + + Furthermore, when a context gets initialized, the item kinds get separated into individual lists: + + * :class:`~pyVHDLModel.DesignUnit.LibraryClause` |rarr| :data:`_libraryReferences` + * :class:`~pyVHDLModel.DesignUnit.UseClause` |rarr| :data:`_packageReferences` + * :class:`~pyVHDLModel.DesignUnit.ContextReference` |rarr| :data:`_contextReferences` + + When :meth:`pyVHDLModel.Design.LinkContexts` got called, these lists were processed and the fields: + + * :data:`_referencedLibraries` (:pycode:`Dict[libName, Library]`) + * :data:`_referencedPackages` (:pycode:`Dict[libName, [pkgName, Package]]`) + * :data:`_referencedContexts` (:pycode:`Dict[libName, [ctxName, Context]]`) + + are populated. + + .. admonition:: Example + + .. code-block:: VHDL + + context ctx is + -- ... + end context; + """ + + _references: List[ContextUnion] + +
+[docs] + def __init__(self, identifier: str, references: Nullable[Iterable[ContextUnion]] = None, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, None, documentation, parent) + + self._references = [] + self._libraryReferences = [] + self._packageReferences = [] + self._contextReferences = [] + + if references is not None: + for reference in references: + self._references.append(reference) + reference._parent = self + + if isinstance(reference, LibraryClause): + self._libraryReferences.append(reference) + elif isinstance(reference, UseClause): + self._packageReferences.append(reference) + elif isinstance(reference, ContextReference): + self._contextReferences.append(reference) + else: + raise VHDLModelException() # FIXME: needs exception message
+ + + @property + def LibraryReferences(self) -> List[LibraryClause]: + return self._libraryReferences + + @property + def PackageReferences(self) -> List[UseClause]: + return self._packageReferences + + @property + def ContextReferences(self) -> List[ContextReference]: + return self._contextReferences + +
+[docs] + def __str__(self) -> str: + lib = self._parent._identifier + "?" if self._parent is not None else "" + + return f"Context: {lib}.{self._identifier}"
+
+ + + +
+[docs] +@export +class Package(PrimaryUnit, DesignUnitWithContextMixin, ConcurrentDeclarationRegionMixin): + """ + Represents a package declaration. + + .. admonition:: Example + + .. code-block:: VHDL + + package pkg is + -- ... + end package; + """ + + _packageBody: Nullable["PackageBody"] + + _genericItems: List[GenericInterfaceItemMixin] + + _deferredConstants: Dict[str, DeferredConstant] + _components: Dict[str, 'Component'] + +
+[docs] + def __init__( + self, + identifier: str, + contextItems: Nullable[Iterable[ContextUnion]] = None, + genericItems: Nullable[Iterable[GenericInterfaceItemMixin]] = None, + declaredItems: Nullable[Iterable] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifier, contextItems, documentation, parent) + DesignUnitWithContextMixin.__init__(self) + ConcurrentDeclarationRegionMixin.__init__(self, declaredItems) + + self._packageBody = None + + # TODO: extract to mixin + self._genericItems = [] # TODO: convert to dict + if genericItems is not None: + for generic in genericItems: + self._genericItems.append(generic) + generic._parent = self + + self._deferredConstants = {} + self._components = {}
+ + + @property + def PackageBody(self) -> Nullable["PackageBody"]: + return self._packageBody + + @property + def GenericItems(self) -> List[GenericInterfaceItemMixin]: + return self._genericItems + + @property + def DeclaredItems(self) -> List: + return self._declaredItems + + @property + def DeferredConstants(self): + return self._deferredConstants + + @property + def Components(self): + return self._components + + def _IndexOtherDeclaredItem(self, item): + if isinstance(item, DeferredConstant): + for normalizedIdentifier in item.NormalizedIdentifiers: + self._deferredConstants[normalizedIdentifier] = item + elif isinstance(item, Component): + self._components[item.NormalizedIdentifier] = item + else: + super()._IndexOtherDeclaredItem(item) + +
+[docs] + def __str__(self) -> str: + lib = self._parent._identifier if self._parent is not None else "%" + + return f"Package: '{lib}.{self._identifier}'"
+ + +
+[docs] + def __repr__(self) -> str: + lib = self._parent._identifier if self._parent is not None else "%" + + return f"{lib}.{self._identifier}"
+
+ + + +
+[docs] +@export +class PackageBody(SecondaryUnit, DesignUnitWithContextMixin, ConcurrentDeclarationRegionMixin): + """ + Represents a package body declaration. + + .. admonition:: Example + + .. code-block:: VHDL + + package body pkg is + -- ... + end package body; + """ + + _package: PackageSymbol + +
+[docs] + def __init__( + self, + packageSymbol: PackageSymbol, + contextItems: Nullable[Iterable[ContextUnion]] = None, + declaredItems: Nullable[Iterable] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(packageSymbol.Name.Identifier, contextItems, documentation, parent) + DesignUnitWithContextMixin.__init__(self) + ConcurrentDeclarationRegionMixin.__init__(self, declaredItems) + + self._package = packageSymbol + packageSymbol._parent = self
+ + + @property + def Package(self) -> PackageSymbol: + return self._package + + @property + def DeclaredItems(self) -> List: + return self._declaredItems + + def LinkDeclaredItemsToPackage(self) -> None: + pass + +
+[docs] + def __str__(self) -> str: + lib = self._parent._identifier + "?" if self._parent is not None else "" + + return f"Package Body: {lib}.{self._identifier}(body)"
+ + +
+[docs] + def __repr__(self) -> str: + lib = self._parent._identifier + "?" if self._parent is not None else "" + + return f"{lib}.{self._identifier}(body)"
+
+ + + +
+[docs] +@export +class Entity(PrimaryUnit, DesignUnitWithContextMixin, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin): + """ + Represents an entity declaration. + + .. admonition:: Example + + .. code-block:: VHDL + + entity ent is + -- ... + end entity; + """ + + _genericItems: List[GenericInterfaceItemMixin] + _portItems: List[PortInterfaceItemMixin] + + _architectures: Dict[str, 'Architecture'] + +
+[docs] + def __init__( + self, + identifier: str, + contextItems: Nullable[Iterable[ContextUnion]] = None, + genericItems: Nullable[Iterable[GenericInterfaceItemMixin]] = None, + portItems: Nullable[Iterable[PortInterfaceItemMixin]] = None, + declaredItems: Nullable[Iterable] = None, + statements: Nullable[Iterable[ConcurrentStatement]] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifier, contextItems, documentation, parent) + DesignUnitWithContextMixin.__init__(self) + ConcurrentDeclarationRegionMixin.__init__(self, declaredItems) + ConcurrentStatementsMixin.__init__(self, statements) + + # TODO: extract to mixin + self._genericItems = [] + if genericItems is not None: + for item in genericItems: + self._genericItems.append(item) + item._parent = self + + # TODO: extract to mixin + self._portItems = [] + if portItems is not None: + for item in portItems: + self._portItems.append(item) + item._parent = self + + self._architectures = {}
+ + + # TODO: extract to mixin for generics + @property + def GenericItems(self) -> List[GenericInterfaceItemMixin]: + return self._genericItems + + # TODO: extract to mixin for ports + @property + def PortItems(self) -> List[PortInterfaceItemMixin]: + return self._portItems + + @property + def Architectures(self) -> Dict[str, 'Architecture']: + return self._architectures + +
+[docs] + def __str__(self) -> str: + lib = self._parent._identifier if self._parent is not None else "%" + archs = ', '.join(self._architectures.keys()) if self._architectures else "%" + + return f"Entity: '{lib}.{self._identifier}({archs})'"
+ + +
+[docs] + def __repr__(self) -> str: + lib = self._parent._identifier if self._parent is not None else "%" + archs = ', '.join(self._architectures.keys()) if self._architectures else "%" + + return f"{lib}.{self._identifier}({archs})"
+
+ + + +
+[docs] +@export +class Architecture(SecondaryUnit, DesignUnitWithContextMixin, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin): + """ + Represents an architecture declaration. + + .. admonition:: Example + + .. code-block:: VHDL + + architecture rtl of ent is + -- ... + begin + -- ... + end architecture; + """ + + _entity: EntitySymbol + +
+[docs] + def __init__( + self, + identifier: str, + entity: EntitySymbol, + contextItems: Nullable[Iterable[Context]] = None, + declaredItems: Nullable[Iterable] = None, + statements: Iterable['ConcurrentStatement'] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifier, contextItems, documentation, parent) + DesignUnitWithContextMixin.__init__(self) + ConcurrentDeclarationRegionMixin.__init__(self, declaredItems) + ConcurrentStatementsMixin.__init__(self, statements) + + self._entity = entity + entity._parent = self
+ + + @property + def Entity(self) -> EntitySymbol: + return self._entity + +
+[docs] + def __str__(self) -> str: + lib = self._parent._identifier if self._parent is not None else "%" + ent = self._entity._name._identifier if self._entity is not None else "%" + + return f"Architecture: {lib}.{ent}({self._identifier})"
+ + +
+[docs] + def __repr__(self) -> str: + lib = self._parent._identifier if self._parent is not None else "%" + ent = self._entity._name._identifier if self._entity is not None else "%" + + return f"{lib}.{ent}({self._identifier})"
+
+ + + +
+[docs] +@export +class Component(ModelEntity, NamedEntityMixin, DocumentedEntityMixin): + """ + Represents a configuration declaration. + + .. admonition:: Example + + .. code-block:: VHDL + + component ent is + -- ... + end component; + """ + + _genericItems: List[GenericInterfaceItemMixin] + _portItems: List[PortInterfaceItemMixin] + + _entity: Nullable[Entity] + +
+[docs] + def __init__( + self, + identifier: str, + genericItems: Nullable[Iterable[GenericInterfaceItemMixin]] = None, + portItems: Nullable[Iterable[PortInterfaceItemMixin]] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(parent) + NamedEntityMixin.__init__(self, identifier) + DocumentedEntityMixin.__init__(self, documentation) + + # TODO: extract to mixin + self._genericItems = [] + if genericItems is not None: + for item in genericItems: + self._genericItems.append(item) + item._parent = self + + # TODO: extract to mixin + self._portItems = [] + if portItems is not None: + for item in portItems: + self._portItems.append(item) + item._parent = self
+ + + @property + def GenericItems(self) -> List[GenericInterfaceItemMixin]: + return self._genericItems + + @property + def PortItems(self) -> List[PortInterfaceItemMixin]: + return self._portItems + + @property + def Entity(self) -> Nullable[Entity]: + return self._entity + + @Entity.setter + def Entity(self, value: Entity) -> None: + self._entity = value
+ + + +
+[docs] +@export +class Configuration(PrimaryUnit, DesignUnitWithContextMixin): + """ + Represents a configuration declaration. + + .. admonition:: Example + + .. code-block:: VHDL + + configuration cfg of ent is + for rtl + -- ... + end for; + end configuration; + """ + +
+[docs] + def __init__( + self, + identifier: str, + contextItems: Nullable[Iterable[Context]] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifier, contextItems, documentation, parent) + DesignUnitWithContextMixin.__init__(self)
+ + +
+[docs] + def __str__(self) -> str: + lib = self._parent._identifier if self._parent is not None else "%" + + return f"Configuration: {lib}.{self._identifier}"
+ + +
+[docs] + def __repr__(self) -> str: + lib = self._parent._identifier if self._parent is not None else "%" + + return f"{lib}.{self._identifier}"
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Exception.html b/_modules/pyVHDLModel/Exception.html new file mode 100644 index 000000000..405374351 --- /dev/null +++ b/_modules/pyVHDLModel/Exception.html @@ -0,0 +1,542 @@ + + + + + + pyVHDLModel.Exception — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Exception

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+The module ``Exceptions`` contains all structured errors that are raised by pyVHDLModel. Besides a default error
+message in english, each exception object contains one or multiple references to the exception's context.
+"""
+from sys    import version_info
+from typing import List
+
+from pyTooling.Decorators import export, readonly
+
+from pyVHDLModel.Symbol import Symbol
+
+
+
+[docs] +@export +class VHDLModelException(Exception): + """Base-class for all exceptions (errors) raised by pyVHDLModel.""" + + # WORKAROUND: for Python <3.11 + # Implementing a dummy method for Python versions before + __notes__: List[str] + if version_info < (3, 11): # pragma: no cover + def add_note(self, message: str) -> None: + try: + self.__notes__.append(message) + except AttributeError: + self.__notes__ = [message]
+ + + +
+[docs] +@export +class LibraryExistsInDesignError(VHDLModelException): + """ + This exception is raised, when the library is already existing in the design. + + Message: :pycode:`f"Library '{library._identifier}' already exists in design."` + """ + + _library: 'Library' + + def __init__(self, library: 'Library') -> None: + """ + Initializes the exception message based on given library object. + + :param library: The library that already exists in the design. + """ + super().__init__(f"Library '{library._identifier}' already exists in design.") + self._library = library + + @readonly + def Library(self) -> 'Library': + """ + Read-only property to access the duplicate library (:attr:`_library`). + + :returns: Duplicate library (by name). + """ + return self._library
+ + + +
+[docs] +@export +class LibraryRegisteredToForeignDesignError(VHDLModelException): + """ + This exception is raised, when the library is already registered to a foreign design. + + Message: :pycode:`f"Library '{library._identifier}' already registered in design '{library.Parent}'."` + """ + + _library: 'Library' + + def __init__(self, library: 'Library') -> None: + """ + Initializes the exception message based on given library object. + + :param library: The library that is already registered to another design. + """ + super().__init__(f"Library '{library._identifier}' already registered in design '{library.Parent}'.") + self._library = library + + @readonly + def Library(self) -> 'Library': + return self._library
+ + + +
+[docs] +@export +class LibraryNotRegisteredError(VHDLModelException): + """ + This exception is raised, when the library is not registered in the design. + + Message: :pycode:`f"Library '{library._identifier}' is not registered in the design."` + """ + + _library: 'Library' + + def __init__(self, library: 'Library') -> None: + """ + Initializes the exception message based on given library object. + + :param library: The library that isn't registered in the design. + """ + super().__init__(f"Library '{library._identifier}' is not registered in the design.") + self._library = library + + @readonly + def Library(self) -> 'Library': + return self._library
+ + + +
+[docs] +@export +class EntityExistsInLibraryError(VHDLModelException): + """ + This exception is raised, when the entity already existing in the library. + + Message: :pycode:`f"Entity '{entity._identifier}' already exists in library '{library._identifier}'."` + """ + + _library: 'Library' + _entity: 'Entity' + + def __init__(self, entity: 'Entity', library: 'Library') -> None: + """ + Initializes the exception message based on given entity and library objects. + + :param entity: The entity that already exists in the library. + :param library: The library that already contains the entity. + """ + super().__init__(f"Entity '{entity._identifier}' already exists in library '{library._identifier}'.") + self._library = library + self._entity = entity + + @readonly + def Library(self) -> 'Library': + return self._library + + @readonly + def Entity(self) -> 'Entity': + return self._entity
+ + + +
+[docs] +@export +class ArchitectureExistsInLibraryError(VHDLModelException): + """ + This exception is raised, when the architecture already existing in the library. + + Message: :pycode:`f"Architecture '{architecture._identifier}' for entity '{entity._identifier}' already exists in library '{library._identifier}'."` + """ + + _library: 'Library' + _entity: 'Entity' + _architecture: 'Architecture' + + def __init__(self, architecture: 'Architecture', entity: 'Entity', library: 'Library') -> None: + """ + Initializes the exception message based on given architecture, entity and library objects. + + :param architecture: The architecture that already exists in the library. + :param entity: The entity the architecture refers to, which already exists in the library. + :param library: The library that already contains the architecture. + """ + super().__init__(f"Architecture '{architecture._identifier}' for entity '{entity._identifier}' already exists in library '{library._identifier}'.") + self._library = library + self._entity = entity + self._architecture = architecture + + @readonly + def Library(self) -> 'Library': + return self._library + + @readonly + def Entity(self) -> 'Entity': + return self._entity + + @readonly + def Architecture(self) -> 'Architecture': + return self._architecture
+ + + +
+[docs] +@export +class PackageExistsInLibraryError(VHDLModelException): + """ + This exception is raised, when the package already existing in the library. + + Message: :pycode:`f"Package '{package._identifier}' already exists in library '{library._identifier}'."` + """ + + _library: 'Library' + _package: 'Package' + + def __init__(self, package: 'Package', library: 'Library') -> None: + """ + Initializes the exception message based on given package and library objects. + + :param package: The package that already exists in the library. + :param library: The library that already contains the package. + """ + super().__init__(f"Package '{package._identifier}' already exists in library '{library._identifier}'.") + self._library = library + self._package = package + + @readonly + def Library(self) -> 'Library': + return self._library + + @readonly + def Package(self) -> 'Package': + return self._package
+ + + +
+[docs] +@export +class PackageBodyExistsError(VHDLModelException): + """ + This exception is raised, when the package body already existing in the library. + + Message: :pycode:`f"Package body '{packageBody._identifier}' already exists in library '{library._identifier}'."` + """ + + _library: 'Library' + _packageBody: 'PackageBody' + + def __init__(self, packageBody: 'PackageBody', library: 'Library') -> None: + """ + Initializes the exception message based on given package body and library objects. + + :param packageBody: The package body that already exists in the library. + :param library: The library that already contains the package body. + """ + super().__init__(f"Package body '{packageBody._identifier}' already exists in library '{library._identifier}'.") + self._library = library + self._packageBody = packageBody + + @readonly + def Library(self) -> 'Library': + return self._library + + @property + def PackageBody(self) -> 'PackageBody': + return self._packageBody
+ + + +
+[docs] +@export +class ConfigurationExistsInLibraryError(VHDLModelException): + """ + This exception is raised, when the configuration already existing in the library. + + Message: :pycode:`f"Configuration '{configuration._identifier}' already exists in library '{library._identifier}'."` + """ + + _library: 'Library' + _configuration: 'Configuration' + + def __init__(self, configuration: 'Configuration', library: 'Library') -> None: + """ + Initializes the exception message based on given configuration and library objects. + + :param configuration: The configuration that already exists in the library. + :param library: The library that already contains the configuration. + """ + super().__init__(f"Configuration '{configuration._identifier}' already exists in library '{library._identifier}'.") + self._library = library + self._configuration = configuration + + @property + def Library(self) -> 'Library': + return self._library + + @property + def Configuration(self) -> 'Configuration': + return self._configuration
+ + + +
+[docs] +@export +class ContextExistsInLibraryError(VHDLModelException): + """ + This exception is raised, when the context already existing in the library. + + Message: :pycode:`f"Context '{context._identifier}' already exists in library '{library._identifier}'."` + """ + + _library: 'Library' + _context: 'Context' + + def __init__(self, context: 'Context', library: 'Library') -> None: + """ + Initializes the exception message based on given context and library objects. + + :param context: The context that already exists in the library. + :param library: The library that already contains the context. + """ + super().__init__(f"Context '{context._identifier}' already exists in library '{library._identifier}'.") + self._library = library + self._context = context + + @property + def Library(self) -> 'Library': + return self._library + + @property + def Context(self) -> 'Context': + return self._context
+ + + +
+[docs] +@export +class ReferencedLibraryNotExistingError(VHDLModelException): + """ + This exception is raised, when a library is referenced by a `library clause`, but doesn't exist in the design. + + Message: :pycode:`f"Library '{librarySymbol.Name._identifier}' referenced by library clause of context '{context._identifier}' doesn't exist in design."` + """ + + _librarySymbol: Symbol + _context: 'Context' + + def __init__(self, context: 'Context', librarySymbol: Symbol) -> None: + """ + Initializes the exception message based on given context and library objects. + + :param context: The context that already exists in the library. + :param librarySymbol: The library that already contains the context. + """ + super().__init__(f"Library '{librarySymbol.Name._identifier}' referenced by library clause of context '{context._identifier}' doesn't exist in design.") + self._librarySymbol = librarySymbol + self._context = context + + @property + def LibrarySymbol(self) -> Symbol: + return self._librarySymbol + + @property + def Context(self) -> 'Context': + return self._context
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Expression.html b/_modules/pyVHDLModel/Expression.html new file mode 100644 index 000000000..2a7f0de7b --- /dev/null +++ b/_modules/pyVHDLModel/Expression.html @@ -0,0 +1,1316 @@ + + + + + + pyVHDLModel.Expression — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Expression

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+All declarations for literals, aggregates, operators forming an expressions.
+"""
+from typing               import Tuple, List, Iterable, Union
+
+from pyTooling.Decorators import export, readonly
+
+from pyVHDLModel.Base     import ModelEntity, Direction, Range
+from pyVHDLModel.Symbol   import Symbol
+
+
+ExpressionUnion = Union[
+	'BaseExpression',
+	'QualifiedExpression',
+	'FunctionCall',
+	'TypeConversion',
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	'Literal',
+]
+
+
+
+[docs] +@export +class BaseExpression(ModelEntity): + """A ``BaseExpression`` is a base-class for all expressions."""
+ + + +
+[docs] +@export +class Literal(BaseExpression): + """A ``Literal`` is a base-class for all literals."""
+ + + +
+[docs] +@export +class NullLiteral(Literal): +
+[docs] + def __str__(self) -> str: + return "null"
+
+ + + +
+[docs] +@export +class EnumerationLiteral(Literal): + _value: str + +
+[docs] + def __init__(self, value: str, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._value = value
+ + + @readonly + def Value(self) -> str: + return self._value + +
+[docs] + def __str__(self) -> str: + return self._value
+
+ + + +
+[docs] +@export +class NumericLiteral(Literal): + """A ``NumericLiteral`` is a base-class for all numeric literals."""
+ + + +
+[docs] +@export +class IntegerLiteral(NumericLiteral): + _value: int + +
+[docs] + def __init__(self, value: int) -> None: + super().__init__() + self._value = value
+ + + @readonly + def Value(self) -> int: + return self._value + +
+[docs] + def __str__(self) -> str: + return str(self._value)
+
+ + + +
+[docs] +@export +class FloatingPointLiteral(NumericLiteral): + _value: float + +
+[docs] + def __init__(self, value: float) -> None: + super().__init__() + self._value = value
+ + + @readonly + def Value(self) -> float: + return self._value + +
+[docs] + def __str__(self) -> str: + return str(self._value)
+
+ + + +
+[docs] +@export +class PhysicalLiteral(NumericLiteral): + _unitName: str + +
+[docs] + def __init__(self, unitName: str) -> None: + super().__init__() + self._unitName = unitName
+ + + @readonly + def UnitName(self) -> str: + return self._unitName + +
+[docs] + def __str__(self) -> str: + return f"{self._value} {self._unitName}"
+
+ + + +
+[docs] +@export +class PhysicalIntegerLiteral(PhysicalLiteral): + _value: int + +
+[docs] + def __init__(self, value: int, unitName: str) -> None: + super().__init__(unitName) + self._value = value
+ + + @readonly + def Value(self) -> int: + return self._value
+ + + +
+[docs] +@export +class PhysicalFloatingLiteral(PhysicalLiteral): + _value: float + +
+[docs] + def __init__(self, value: float, unitName: str) -> None: + super().__init__(unitName) + self._value = value
+ + + @readonly + def Value(self) -> float: + return self._value
+ + + +
+[docs] +@export +class CharacterLiteral(Literal): + _value: str + +
+[docs] + def __init__(self, value: str) -> None: + super().__init__() + self._value = value
+ + + @readonly + def Value(self) -> str: + return self._value + +
+[docs] + def __str__(self) -> str: + return str(self._value)
+
+ + + +
+[docs] +@export +class StringLiteral(Literal): + _value: str + +
+[docs] + def __init__(self, value: str) -> None: + super().__init__() + self._value = value
+ + + @readonly + def Value(self) -> str: + return self._value + +
+[docs] + def __str__(self) -> str: + return "\"" + self._value + "\""
+
+ + + +
+[docs] +@export +class BitStringLiteral(Literal): + _value: str + +
+[docs] + def __init__(self, value: str) -> None: + super().__init__() + self._value = value
+ + + @readonly + def Value(self) -> str: + return self._value + +
+[docs] + def __str__(self) -> str: + return "\"" + self._value + "\""
+
+ + + +
+[docs] +@export +class ParenthesisExpression: #(Protocol): + __slots__ = () # FIXME: use ExtendedType? + + @readonly + def Operand(self) -> ExpressionUnion: + return None
+ + + +
+[docs] +@export +class UnaryExpression(BaseExpression): + """A ``UnaryExpression`` is a base-class for all unary expressions.""" + + _FORMAT: Tuple[str, str] + _operand: ExpressionUnion + +
+[docs] + def __init__(self, operand: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._operand = operand
+ + # operand._parent = self # FIXME: operand is provided as None + + @readonly + def Operand(self): + return self._operand + +
+[docs] + def __str__(self) -> str: + return f"{self._FORMAT[0]}{self._operand!s}{self._FORMAT[1]}"
+
+ + + +
+[docs] +@export +class NegationExpression(UnaryExpression): + _FORMAT = ("-", "")
+ + + +
+[docs] +@export +class IdentityExpression(UnaryExpression): + _FORMAT = ("+", "")
+ + + +
+[docs] +@export +class InverseExpression(UnaryExpression): + _FORMAT = ("not ", "")
+ + + +
+[docs] +@export +class UnaryAndExpression(UnaryExpression): + _FORMAT = ("and ", "")
+ + + +
+[docs] +@export +class UnaryNandExpression(UnaryExpression): + _FORMAT = ("nand ", "")
+ + + +
+[docs] +@export +class UnaryOrExpression(UnaryExpression): + _FORMAT = ("or ", "")
+ + + +
+[docs] +@export +class UnaryNorExpression(UnaryExpression): + _FORMAT = ("nor ", "")
+ + + +
+[docs] +@export +class UnaryXorExpression(UnaryExpression): + _FORMAT = ("xor ", "")
+ + + +
+[docs] +@export +class UnaryXnorExpression(UnaryExpression): + _FORMAT = ("xnor ", "")
+ + + +
+[docs] +@export +class AbsoluteExpression(UnaryExpression): + _FORMAT = ("abs ", "")
+ + + +
+[docs] +@export +class TypeConversion(UnaryExpression): + pass
+ + + +
+[docs] +@export +class SubExpression(UnaryExpression, ParenthesisExpression): + _FORMAT = ("(", ")")
+ + + +
+[docs] +@export +class BinaryExpression(BaseExpression): + """A ``BinaryExpression`` is a base-class for all binary expressions.""" + + _FORMAT: Tuple[str, str, str] + _leftOperand: ExpressionUnion + _rightOperand: ExpressionUnion + +
+[docs] + def __init__(self, leftOperand: ExpressionUnion, rightOperand: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._leftOperand = leftOperand + leftOperand._parent = self + + self._rightOperand = rightOperand + rightOperand._parent = self
+ + + @property + def LeftOperand(self): + return self._leftOperand + + @property + def RightOperand(self): + return self._rightOperand + +
+[docs] + def __str__(self) -> str: + return "{leftOperator}{leftOperand!s}{middleOperator}{rightOperand!s}{rightOperator}".format( + leftOperator=self._FORMAT[0], + leftOperand=self._leftOperand, + middleOperator=self._FORMAT[1], + rightOperand=self._rightOperand, + rightOperator=self._FORMAT[2], + )
+
+ + + +
+[docs] +@export +class RangeExpression(BinaryExpression): + _direction: Direction + + @property + def Direction(self) -> Direction: + return self._direction
+ + + +
+[docs] +@export +class AscendingRangeExpression(RangeExpression): + _direction = Direction.To + _FORMAT = ("", " to ", "")
+ + + +
+[docs] +@export +class DescendingRangeExpression(RangeExpression): + _direction = Direction.DownTo + _FORMAT = ("", " downto ", "")
+ + + +
+[docs] +@export +class AddingExpression(BinaryExpression): + """A ``AddingExpression`` is a base-class for all adding expressions."""
+ + + +
+[docs] +@export +class AdditionExpression(AddingExpression): + _FORMAT = ("", " + ", "")
+ + + +
+[docs] +@export +class SubtractionExpression(AddingExpression): + _FORMAT = ("", " - ", "")
+ + + +
+[docs] +@export +class ConcatenationExpression(AddingExpression): + _FORMAT = ("", " & ", "")
+ + + +
+[docs] +@export +class MultiplyingExpression(BinaryExpression): + """A ``MultiplyingExpression`` is a base-class for all multiplying expressions."""
+ + + +
+[docs] +@export +class MultiplyExpression(MultiplyingExpression): + _FORMAT = ("", " * ", "")
+ + + +
+[docs] +@export +class DivisionExpression(MultiplyingExpression): + _FORMAT = ("", " / ", "")
+ + + +
+[docs] +@export +class RemainderExpression(MultiplyingExpression): + _FORMAT = ("", " rem ", "")
+ + + +
+[docs] +@export +class ModuloExpression(MultiplyingExpression): + _FORMAT = ("", " mod ", "")
+ + + +
+[docs] +@export +class ExponentiationExpression(MultiplyingExpression): + _FORMAT = ("", "**", "")
+ + + +
+[docs] +@export +class LogicalExpression(BinaryExpression): + """A ``LogicalExpression`` is a base-class for all logical expressions."""
+ + + +
+[docs] +@export +class AndExpression(LogicalExpression): + _FORMAT = ("", " and ", "")
+ + + +
+[docs] +@export +class NandExpression(LogicalExpression): + _FORMAT = ("", " nand ", "")
+ + + +
+[docs] +@export +class OrExpression(LogicalExpression): + _FORMAT = ("", " or ", "")
+ + + +
+[docs] +@export +class NorExpression(LogicalExpression): + _FORMAT = ("", " nor ", "")
+ + + +
+[docs] +@export +class XorExpression(LogicalExpression): + _FORMAT = ("", " xor ", "")
+ + + +
+[docs] +@export +class XnorExpression(LogicalExpression): + _FORMAT = ("", " xnor ", "")
+ + + +
+[docs] +@export +class RelationalExpression(BinaryExpression): + """A ``RelationalExpression`` is a base-class for all shifting expressions."""
+ + + +
+[docs] +@export +class EqualExpression(RelationalExpression): + _FORMAT = ("", " = ", "")
+ + + +
+[docs] +@export +class UnequalExpression(RelationalExpression): + _FORMAT = ("", " /= ", "")
+ + + +
+[docs] +@export +class GreaterThanExpression(RelationalExpression): + _FORMAT = ("", " > ", "")
+ + + +
+[docs] +@export +class GreaterEqualExpression(RelationalExpression): + _FORMAT = ("", " >= ", "")
+ + + +
+[docs] +@export +class LessThanExpression(RelationalExpression): + _FORMAT = ("", " < ", "")
+ + + +
+[docs] +@export +class LessEqualExpression(RelationalExpression): + _FORMAT = ("", " <= ", "")
+ + + +
+[docs] +@export +class MatchingRelationalExpression(RelationalExpression): + pass
+ + + +
+[docs] +@export +class MatchingEqualExpression(MatchingRelationalExpression): + _FORMAT = ("", " ?= ", "")
+ + + +
+[docs] +@export +class MatchingUnequalExpression(MatchingRelationalExpression): + _FORMAT = ("", " ?/= ", "")
+ + + +
+[docs] +@export +class MatchingGreaterThanExpression(MatchingRelationalExpression): + _FORMAT = ("", " ?> ", "")
+ + + +
+[docs] +@export +class MatchingGreaterEqualExpression(MatchingRelationalExpression): + _FORMAT = ("", " ?>= ", "")
+ + + +
+[docs] +@export +class MatchingLessThanExpression(MatchingRelationalExpression): + _FORMAT = ("", " ?< ", "")
+ + + +
+[docs] +@export +class MatchingLessEqualExpression(MatchingRelationalExpression): + _FORMAT = ("", " ?<= ", "")
+ + + +
+[docs] +@export +class ShiftExpression(BinaryExpression): + """A ``ShiftExpression`` is a base-class for all shifting expressions."""
+ + + +
+[docs] +@export +class ShiftLogicExpression(ShiftExpression): + pass
+ + + +
+[docs] +@export +class ShiftArithmeticExpression(ShiftExpression): + pass
+ + + +
+[docs] +@export +class RotateExpression(ShiftExpression): + pass
+ + + +
+[docs] +@export +class ShiftRightLogicExpression(ShiftLogicExpression): + _FORMAT = ("", " srl ", "")
+ + + +
+[docs] +@export +class ShiftLeftLogicExpression(ShiftLogicExpression): + _FORMAT = ("", " sll ", "")
+ + + +
+[docs] +@export +class ShiftRightArithmeticExpression(ShiftArithmeticExpression): + _FORMAT = ("", " sra ", "")
+ + + +
+[docs] +@export +class ShiftLeftArithmeticExpression(ShiftArithmeticExpression): + _FORMAT = ("", " sla ", "")
+ + + +
+[docs] +@export +class RotateRightExpression(RotateExpression): + _FORMAT = ("", " ror ", "")
+ + + +
+[docs] +@export +class RotateLeftExpression(RotateExpression): + _FORMAT = ("", " rol ", "")
+ + + +
+[docs] +@export +class QualifiedExpression(BaseExpression, ParenthesisExpression): + _operand: ExpressionUnion + _subtype: Symbol + +
+[docs] + def __init__(self, subtype: Symbol, operand: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._operand = operand + operand._parent = self + + self._subtype = subtype + subtype._parent = self
+ + + @property + def Operand(self): + return self._operand + + @property + def Subtyped(self): + return self._subtype + +
+[docs] + def __str__(self) -> str: + return f"{self._subtype}'({self._operand!s})"
+
+ + + +
+[docs] +@export +class TernaryExpression(BaseExpression): + """A ``TernaryExpression`` is a base-class for all ternary expressions.""" + + _FORMAT: Tuple[str, str, str, str] + _firstOperand: ExpressionUnion + _secondOperand: ExpressionUnion + _thirdOperand: ExpressionUnion + +
+[docs] + def __init__(self, parent: ModelEntity = None) -> None: + super().__init__(parent)
+ + + # FIXME: parameters and initializers are missing !! + + @property + def FirstOperand(self): + return self._firstOperand + + @property + def SecondOperand(self): + return self._secondOperand + + @property + def ThirdOperand(self): + return self._thirdOperand + +
+[docs] + def __str__(self) -> str: + return "{beforeFirstOperator}{firstOperand!s}{beforeSecondOperator}{secondOperand!s}{beforeThirdOperator}{thirdOperand!s}{lastOperator}".format( + beforeFirstOperator=self._FORMAT[0], + firstOperand=self._firstOperand, + beforeSecondOperator=self._FORMAT[1], + secondOperand=self._secondOperand, + beforeThirdOperator=self._FORMAT[2], + thirdOperand=self._thirdOperand, + lastOperator=self._FORMAT[4], + )
+
+ + + +
+[docs] +@export +class WhenElseExpression(TernaryExpression): + _FORMAT = ("", " when ", " else ", "")
+ + + +
+[docs] +@export +class FunctionCall(BaseExpression): + pass
+ + + +
+[docs] +@export +class Allocation(BaseExpression): + pass
+ + + +
+[docs] +@export +class SubtypeAllocation(Allocation): + _subtype: Symbol + +
+[docs] + def __init__(self, subtype: Symbol, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._subtype = subtype + subtype._parent = self
+ + + @property + def Subtype(self) -> Symbol: + return self._subtype + +
+[docs] + def __str__(self) -> str: + return f"new {self._subtype!s}"
+
+ + + +
+[docs] +@export +class QualifiedExpressionAllocation(Allocation): + _qualifiedExpression: QualifiedExpression + +
+[docs] + def __init__(self, qualifiedExpression: QualifiedExpression, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._qualifiedExpression = qualifiedExpression + qualifiedExpression._parent = self
+ + + @property + def QualifiedExpression(self) -> QualifiedExpression: + return self._qualifiedExpression + +
+[docs] + def __str__(self) -> str: + return f"new {self._qualifiedExpression!s}"
+
+ + + +
+[docs] +@export +class AggregateElement(ModelEntity): + """A ``AggregateElement`` is a base-class for all aggregate elements.""" + + _expression: ExpressionUnion + +
+[docs] + def __init__(self, expression: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._expression = expression + expression._parent = self
+ + + @property + def Expression(self): + return self._expression
+ + + +
+[docs] +@export +class SimpleAggregateElement(AggregateElement): +
+[docs] + def __str__(self) -> str: + return str(self._expression)
+
+ + + +
+[docs] +@export +class IndexedAggregateElement(AggregateElement): + _index: int + +
+[docs] + def __init__(self, index: ExpressionUnion, expression: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(expression, parent) + + self._index = index
+ + + @property + def Index(self) -> int: + return self._index + +
+[docs] + def __str__(self) -> str: + return f"{self._index!s} => {self._expression!s}"
+
+ + + +
+[docs] +@export +class RangedAggregateElement(AggregateElement): + _range: Range + +
+[docs] + def __init__(self, rng: Range, expression: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(expression, parent) + + self._range = rng + rng._parent = self
+ + + @property + def Range(self) -> Range: + return self._range + +
+[docs] + def __str__(self) -> str: + return f"{self._range!s} => {self._expression!s}"
+
+ + + +
+[docs] +@export +class NamedAggregateElement(AggregateElement): + _name: Symbol + +
+[docs] + def __init__(self, name: Symbol, expression: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(expression, parent) + + self._name = name + name._parent = self
+ + + @property + def Name(self) -> Symbol: + return self._name + +
+[docs] + def __str__(self) -> str: + return "{name!s} => {value!s}".format( + name=self._name, + value=self._expression, + )
+
+ + + +
+[docs] +@export +class OthersAggregateElement(AggregateElement): +
+[docs] + def __str__(self) -> str: + return "others => {value!s}".format( + value=self._expression, + )
+
+ + + +
+[docs] +@export +class Aggregate(BaseExpression): + _elements: List[AggregateElement] + +
+[docs] + def __init__(self, elements: Iterable[AggregateElement], parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._elements = [] + for element in elements: + self._elements.append(element) + element._parent = self
+ + + @property + def Elements(self) -> List[AggregateElement]: + return self._elements + +
+[docs] + def __str__(self) -> str: + choices = [str(element) for element in self._elements] + return "({choices})".format( + choices=", ".join(choices) + )
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/IEEE.html b/_modules/pyVHDLModel/IEEE.html new file mode 100644 index 000000000..52892013b --- /dev/null +++ b/_modules/pyVHDLModel/IEEE.html @@ -0,0 +1,695 @@ + + + + + + pyVHDLModel.IEEE — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.IEEE

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""This module contains library and package declarations for VHDL library ``IEEE``."""
+from pyTooling.Decorators   import export
+
+from pyVHDLModel.Expression import EnumerationLiteral
+from pyVHDLModel.Name       import SimpleName
+from pyVHDLModel.Predefined import PredefinedLibrary, PredefinedPackage, PredefinedPackageBody
+from pyVHDLModel.Symbol     import SimpleSubtypeSymbol
+from pyVHDLModel.Type       import EnumeratedType, ArrayType, Subtype
+
+
+
+[docs] +@export +class Ieee(PredefinedLibrary): + """ + Predefined VHDL library ``ieee``. + + The following predefined packages are in this library: + + * Math + + * :class:`~pyVHDLModel.IEEE.Math_Real` + * :class:`~pyVHDLModel.IEEE.Math_Complex` + + * Std_logic + + * :class:`~pyVHDLModel.IEEE.Std_Logic_1164` + * :class:`~pyVHDLModel.IEEE.Std_Logic_TextIO` + * :class:`~pyVHDLModel.IEEE.Std_Logic_Misc` + + * Numeric + + * :class:`~pyVHDLModel.IEEE.Numeric_Bit` + * :class:`~pyVHDLModel.IEEE.Numeric_Bit_Unsigned` + * :class:`~pyVHDLModel.IEEE.Numeric_Std` + * :class:`~pyVHDLModel.IEEE.Numeric_Std_Unsigned` + + * Fixed/floating point + + * :class:`~pyVHDLModel.IEEE.Fixed_Float_Types` + * :class:`~pyVHDLModel.IEEE.Fixed_Generic_Pkg` + * :class:`~pyVHDLModel.IEEE.Fixed_Pkg` + * :class:`~pyVHDLModel.IEEE.Float_Generic_Pkg` + * :class:`~pyVHDLModel.IEEE.Float_Pkg` + + .. seealso:: + + Other predefined libraries: + * Library :class:`~pyVHDLModel.STD.Std` + """ + +
+[docs] + def __init__(self) -> None: + super().__init__(PACKAGES)
+ + + def LoadSynopsysPackages(self) -> None: + self.AddPackages(PACKAGES_SYNOPSYS)
+ + + + +
+[docs] +@export +class Math_Real(PredefinedPackage): + """ + Predefined package ``ieee.math_real``. + """
+ + + +
+[docs] +@export +class Math_Real_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.math_real``. + """
+ + + +
+[docs] +@export +class Math_Complex(PredefinedPackage): + """ + Predefined package ``ieee.math_complex``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("work.math_real.all",))
+
+ + + +
+[docs] +@export +class Math_Complex_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.math_complex``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("work.math_real.all",))
+
+ + + +
+[docs] +@export +class Std_logic_1164(PredefinedPackage): + """ + Predefined package ``ieee.std_logic_1164``. + + Predefined types: + + * ``std_ulogic``, ``std_ulogic_vector`` + * ``std_logic``, ``std_logic_vector`` + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("STD.TEXTIO.all", )) + + stdULogic = EnumeratedType("std_ulogic", ( + EnumerationLiteral("U"), + EnumerationLiteral("X"), + EnumerationLiteral("0"), + EnumerationLiteral("1"), + EnumerationLiteral("Z"), + EnumerationLiteral("W"), + EnumerationLiteral("L"), + EnumerationLiteral("H"), + EnumerationLiteral("-"), + ), None) + self._types[stdULogic._normalizedIdentifier] = stdULogic + self._declaredItems.append(stdULogic) + + stdULogicVector = ArrayType("std_ulogic_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("std_ulogic")), None) + self._types[stdULogicVector._normalizedIdentifier] = stdULogicVector + self._declaredItems.append(stdULogicVector) + + stdLogic = Subtype("std_logic", SimpleSubtypeSymbol(SimpleName("std_ulogic")), None) + stdLogic._baseType = stdULogic + self._subtypes[stdLogic._normalizedIdentifier] = stdLogic + self._declaredItems.append(stdLogic) + + stdLogicVector = Subtype("std_logic_vector", SimpleSubtypeSymbol(SimpleName("std_ulogic_vector")), None) + stdLogicVector._baseType = stdULogicVector + self._subtypes[stdLogicVector._normalizedIdentifier] = stdLogicVector + self._declaredItems.append(stdLogicVector)
+
+ + + +
+[docs] +@export +class Std_logic_1164_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.std_logic_1164``. + """
+ + + +
+[docs] +@export +class std_logic_textio(PredefinedPackage): + """ + Predefined package ``ieee.std_logic_textio``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("STD.TEXTIO.all", )) + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.std_logic_1164.all", ))
+
+ + + +
+[docs] +@export +class Std_logic_misc(PredefinedPackage): + """ + Predefined package ``ieee.std_logic_misc``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.std_logic_1164.all", ))
+
+ + + +
+[docs] +@export +class Std_logic_misc_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.std_logic_misc``. + """
+ + + +
+[docs] +@export +class Numeric_Bit(PredefinedPackage): + """ + Predefined package ``ieee.numeric_bit``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("STD.TEXTIO.all", ))
+
+ + + +
+[docs] +@export +class Numeric_Bit_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.numeric_bit``. + """
+ + + +
+[docs] +@export +class Numeric_Bit_Unsigned(PredefinedPackage): + """ + Predefined package ``ieee.numeric_bit_unsigned``. + """
+ + + +
+[docs] +@export +class Numeric_Bit_Unsigned_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.numeric_bit_unsigned``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.numeric_bit.all", ))
+
+ + + +
+[docs] +@export +class Numeric_Std(PredefinedPackage): + """ + Predefined package ``ieee.numeric_std``. + + Predefined types: + + * ``unresolved_unsigned``, ``unsigned`` + * ``unresolved_signed``, ``signed`` + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("STD.TEXTIO.all", )) + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.std_logic_1164.all", )) + + unresolvedUnsigned = ArrayType("unresolved_unsigned", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("std_ulogic")), None) + self._types[unresolvedUnsigned._normalizedIdentifier] = unresolvedUnsigned + self._declaredItems.append(unresolvedUnsigned) + + unsigned = Subtype("unsigned", SimpleSubtypeSymbol(SimpleName("unresolved_unsigned")), None) + unsigned._baseType = unresolvedUnsigned + self._subtypes[unsigned._normalizedIdentifier] = unsigned + self._declaredItems.append(unsigned) + + unresolvedSigned = ArrayType("unresolved_signed", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("std_ulogic")), None) + self._types[unresolvedSigned._normalizedIdentifier] = unresolvedSigned + self._declaredItems.append(unresolvedSigned) + + signed = Subtype("signed", SimpleSubtypeSymbol(SimpleName("unresolved_signed")), None) + signed._baseType = unresolvedSigned + self._subtypes[signed._normalizedIdentifier] = signed + self._declaredItems.append(signed)
+
+ + + +
+[docs] +@export +class Numeric_Std_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.numeric_std``. + """
+ + + +
+[docs] +@export +class Numeric_Std_Unsigned(PredefinedPackage): + """ + Predefined package ``ieee.numeric_std_unsigned``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.std_logic_1164.all", ))
+
+ + + +
+[docs] +@export +class Numeric_Std_Unsigned_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.numeric_std_unsigned``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.numeric_std.all", ))
+
+ + + +
+[docs] +@export +class Fixed_Float_Types(PredefinedPackage): + """ + Predefined package ``ieee.fixed_float_types``. + """
+ + + +
+[docs] +@export +class Fixed_Generic_Pkg(PredefinedPackage): + """ + Predefined package ``ieee.fixed_generic_pkg``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("STD.TEXTIO.all", )) + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.STD_LOGIC_1164.all", )) + self._AddPackageClause(("IEEE.NUMERIC_STD.all", )) + self._AddPackageClause(("IEEE.fixed_float_types.all", ))
+
+ + + +
+[docs] +@export +class Fixed_Generic_Pkg_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.fixed_generic_pkg``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.MATH_REAL.all", ))
+
+ + + +
+[docs] +@export +class Fixed_Pkg(PredefinedPackage): + """ + Predefined package ``ieee.fixed_pkg``. + """ +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddLibraryClause(("IEEE", ))
+
+ + + +
+[docs] +@export +class Float_Generic_Pkg(PredefinedPackage): + """ + Predefined package ``ieee.float_generic_pkg``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("STD.TEXTIO.all", )) + self._AddLibraryClause(("IEEE", )) + self._AddPackageClause(("IEEE.STD_LOGIC_1164.all", )) + self._AddPackageClause(("IEEE.NUMERIC_STD.all", )) + self._AddPackageClause(("IEEE.fixed_float_types.all", ))
+
+ + + +
+[docs] +@export +class Float_Generic_Pkg_Body(PredefinedPackageBody): + """ + Predefined package body of package ``ieee.float_generic_pkg``. + """
+ + + +
+[docs] +@export +class Float_Pkg(PredefinedPackage): + """ + Predefined package ``ieee.float_pkg``. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddLibraryClause(("IEEE", ))
+
+ + + +PACKAGES = ( + (Math_Real, Math_Real_Body), + (Math_Complex, Math_Complex_Body), + (Std_logic_1164, Std_logic_1164_Body), + (std_logic_textio, None), + (Numeric_Bit, Numeric_Bit_Body), + (Numeric_Bit_Unsigned, Numeric_Bit_Unsigned_Body), + (Numeric_Std, Numeric_Std_Body), + (Numeric_Std_Unsigned, Numeric_Std_Unsigned_Body), + (Fixed_Float_Types, None), + (Fixed_Generic_Pkg, Fixed_Generic_Pkg_Body), + (Fixed_Pkg, None), + (Float_Generic_Pkg, Float_Generic_Pkg_Body), + (Float_Pkg, None), +) + +PACKAGES_SYNOPSYS = ( + (Std_logic_misc, Std_logic_misc_Body), +) +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Instantiation.html b/_modules/pyVHDLModel/Instantiation.html new file mode 100644 index 000000000..1915b6367 --- /dev/null +++ b/_modules/pyVHDLModel/Instantiation.html @@ -0,0 +1,281 @@ + + + + + + pyVHDLModel.Instantiation — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Instantiation

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Instantiations of packages, procedures, functions and protected types.
+"""
+from typing import List, Optional as Nullable
+
+from pyTooling.Decorators    import export, readonly
+from pyTooling.MetaClasses   import ExtendedType
+
+from pyVHDLModel.Base        import ModelEntity
+from pyVHDLModel.DesignUnit  import PrimaryUnit
+from pyVHDLModel.Association import GenericAssociationItem
+from pyVHDLModel.Subprogram  import Procedure, Function, Subprogram
+from pyVHDLModel.Symbol      import PackageReferenceSymbol
+
+
+
+[docs] +@export +class GenericInstantiationMixin(metaclass=ExtendedType, mixin=True): +
+[docs] + def __init__(self) -> None: + pass
+
+ + + +
+[docs] +@export +class GenericEntityInstantiationMixin(GenericInstantiationMixin, mixin=True): +
+[docs] + def __init__(self) -> None: + pass
+
+ + + +
+[docs] +@export +class SubprogramInstantiationMixin(GenericInstantiationMixin, mixin=True): + _subprogramReference: Subprogram # FIXME: is this a subprogram symbol? + +
+[docs] + def __init__(self) -> None: + super().__init__() + self._subprogramReference = None
+
+ + + +
+[docs] +@export +class ProcedureInstantiation(Procedure, SubprogramInstantiationMixin): + pass
+ + + +
+[docs] +@export +class FunctionInstantiation(Function, SubprogramInstantiationMixin): + pass
+ + + +
+[docs] +@export +class PackageInstantiation(PrimaryUnit, GenericInstantiationMixin): + _packageReference: PackageReferenceSymbol + _genericAssociations: List[GenericAssociationItem] + +
+[docs] + def __init__(self, identifier: str, uninstantiatedPackage: PackageReferenceSymbol, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, documentation, parent) + GenericEntityInstantiationMixin.__init__(self) + + self._packageReference = uninstantiatedPackage + # uninstantiatedPackage._parent = self # FIXME: uninstantiatedPackage is provided as int + + # TODO: extract to mixin + self._genericAssociations = []
+ + + @readonly + def PackageReference(self) -> PackageReferenceSymbol: + return self._packageReference + + @readonly + def GenericAssociations(self) -> List[GenericAssociationItem]: + return self._genericAssociations
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Interface.html b/_modules/pyVHDLModel/Interface.html new file mode 100644 index 000000000..3f710000e --- /dev/null +++ b/_modules/pyVHDLModel/Interface.html @@ -0,0 +1,449 @@ + + + + + + pyVHDLModel.Interface — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Interface

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Interface items are used in generic, port and parameter declarations.
+"""
+from typing                 import Iterable, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+
+from pyVHDLModel.Symbol     import Symbol
+from pyVHDLModel.Base       import ModelEntity, DocumentedEntityMixin, ExpressionUnion, Mode
+from pyVHDLModel.Object     import Constant, Signal, Variable, File
+from pyVHDLModel.Subprogram import Procedure, Function
+from pyVHDLModel.Type       import Type
+
+
+
+[docs] +@export +class InterfaceItemMixin(DocumentedEntityMixin, mixin=True): + """An ``InterfaceItem`` is a base-class for all mixin-classes for all interface items.""" + +
+[docs] + def __init__(self, documentation: Nullable[str] = None) -> None: + super().__init__(documentation)
+
+ + + +
+[docs] +@export +class InterfaceItemWithModeMixin(metaclass=ExtendedType, mixin=True): + """An ``InterfaceItemWithMode`` is a mixin-class to provide a ``Mode`` to interface items.""" + + _mode: Mode + +
+[docs] + def __init__(self, mode: Mode) -> None: + self._mode = mode
+ + + @readonly + def Mode(self) -> Mode: + return self._mode
+ + + +
+[docs] +@export +class GenericInterfaceItemMixin(InterfaceItemMixin, mixin=True): + """A ``GenericInterfaceItem`` is a mixin class for all generic interface items."""
+ + + +
+[docs] +@export +class PortInterfaceItemMixin(InterfaceItemMixin, InterfaceItemWithModeMixin, mixin=True): + """A ``PortInterfaceItem`` is a mixin class for all port interface items.""" + +
+[docs] + def __init__(self, mode: Mode) -> None: + super().__init__() + InterfaceItemWithModeMixin.__init__(self, mode)
+
+ + + +
+[docs] +@export +class ParameterInterfaceItemMixin(InterfaceItemMixin, mixin=True): + """A ``ParameterInterfaceItem`` is a mixin class for all parameter interface items."""
+ + + +
+[docs] +@export +class GenericConstantInterfaceItem(Constant, GenericInterfaceItemMixin, InterfaceItemWithModeMixin): +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + mode: Mode, + subtype: Symbol, + defaultExpression: Nullable[ExpressionUnion] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, defaultExpression, documentation, parent) + GenericInterfaceItemMixin.__init__(self) + InterfaceItemWithModeMixin.__init__(self, mode)
+
+ + + +
+[docs] +@export +class GenericTypeInterfaceItem(Type, GenericInterfaceItemMixin): +
+[docs] + def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, documentation, parent) + GenericInterfaceItemMixin.__init__(self)
+
+ + + +
+[docs] +@export +class GenericSubprogramInterfaceItem(GenericInterfaceItemMixin): + pass
+ + + +
+[docs] +@export +class GenericProcedureInterfaceItem(Procedure, GenericInterfaceItemMixin): +
+[docs] + def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, documentation, parent) + GenericInterfaceItemMixin.__init__(self)
+
+ + + +
+[docs] +@export +class GenericFunctionInterfaceItem(Function, GenericInterfaceItemMixin): +
+[docs] + def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, documentation, parent) + GenericInterfaceItemMixin.__init__(self)
+
+ + + +
+[docs] +@export +class GenericPackageInterfaceItem(GenericInterfaceItemMixin): +
+[docs] + def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, documentation, parent) + GenericInterfaceItemMixin.__init__(self)
+
+ + + +
+[docs] +@export +class PortSignalInterfaceItem(Signal, PortInterfaceItemMixin): +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + mode: Mode, + subtype: Symbol, + defaultExpression: Nullable[ExpressionUnion] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, defaultExpression, documentation, parent) + PortInterfaceItemMixin.__init__(self, mode)
+
+ + + +
+[docs] +@export +class ParameterConstantInterfaceItem(Constant, ParameterInterfaceItemMixin, InterfaceItemWithModeMixin): +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + mode: Mode, + subtype: Symbol, + defaultExpression: Nullable[ExpressionUnion] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, defaultExpression, documentation, parent) + ParameterInterfaceItemMixin.__init__(self) + InterfaceItemWithModeMixin.__init__(self, mode)
+
+ + + +
+[docs] +@export +class ParameterVariableInterfaceItem(Variable, ParameterInterfaceItemMixin, InterfaceItemWithModeMixin): +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + mode: Mode, + subtype: Symbol, + defaultExpression: Nullable[ExpressionUnion] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, defaultExpression, documentation, parent) + ParameterInterfaceItemMixin.__init__(self) + InterfaceItemWithModeMixin.__init__(self, mode)
+
+ + + +
+[docs] +@export +class ParameterSignalInterfaceItem(Signal, ParameterInterfaceItemMixin, InterfaceItemWithModeMixin): +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + mode: Mode, + subtype: Symbol, + defaultExpression: Nullable[ExpressionUnion] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, defaultExpression, documentation, parent) + ParameterInterfaceItemMixin.__init__(self) + InterfaceItemWithModeMixin.__init__(self, mode)
+
+ + + +
+[docs] +@export +class ParameterFileInterfaceItem(File, ParameterInterfaceItemMixin): +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + subtype: Symbol, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, documentation, parent) + ParameterInterfaceItemMixin.__init__(self)
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Name.html b/_modules/pyVHDLModel/Name.html new file mode 100644 index 000000000..0d8c66138 --- /dev/null +++ b/_modules/pyVHDLModel/Name.html @@ -0,0 +1,447 @@ + + + + + + pyVHDLModel.Name — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Name

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+VHDL uses *names* to express cross-references from *usage locations* to *declarations*. Here, *names* are single or
+combined identifiers. :mod:`Symbols <pyVHDLModel.Symbol>` are structures representing a *name* and a reference
+(pointer) to the referenced vhdl language entity.
+"""
+from typing import List, Iterable, Optional as Nullable
+
+from pyTooling.Decorators import export, readonly
+
+from pyVHDLModel.Base import ModelEntity, ExpressionUnion
+
+
+
+[docs] +@export +class Name(ModelEntity): + """``Name`` is the base-class for all *names* in the VHDL language model.""" + + _identifier: str + _normalizedIdentifier: str + _root: Nullable['Name'] # TODO: seams to be unused. There is no reverse linking, or? + _prefix: Nullable['Name'] + +
+[docs] + def __init__(self, identifier: str, prefix: Nullable["Name"] = None, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._identifier = identifier + self._normalizedIdentifier = identifier.lower() + + if prefix is None: + self._prefix = None + self._root = self + else: + self._prefix = prefix + self._root = prefix._root
+ + + @readonly + def Identifier(self) -> str: + """ + The identifier the name is referencing. + + :returns: The referenced identifier. + """ + return self._identifier + + @readonly + def NormalizedIdentifier(self) -> str: + """ + The normalized identifier the name is referencing. + + :returns: The referenced identifier (normalized). + """ + return self._normalizedIdentifier + + @readonly + def Root(self) -> 'Name': + """ + The root (left-most) element in a chain of names. + + In case the name is a :class:`simple name <SimpleName>`, the root points to the name itself. + + :returns: The name's root element. + """ + return self._root + + @readonly + def Prefix(self) -> Nullable['Name']: + """ + The name's prefix in a chain of names. + + :returns: The name left from current name, if not a simple name, otherwise ``None``. + """ + return self._prefix + + @readonly + def HasPrefix(self) -> bool: + """ + Returns true, if the name has a prefix. + + This is true for all names except :class:`simple names <SimpleName>`. + + :returns: ``True``, if the name as a prefix. + """ + return self._prefix is not None + +
+[docs] + def __repr__(self) -> str: + return f"Name: '{self.__str__()}'"
+ + +
+[docs] + def __str__(self) -> str: + return self._identifier
+
+ + + +
+[docs] +@export +class SimpleName(Name): + """ + A *simple name* is a name made from a single word. + + For example, the entity name in an architecture declaration is a simple name, while the name of the architecture + itself is an identifier. The simple name references is again an identifier in the entity declaration, thus names + reference other (already) declared language entities. + """
+ + + +
+[docs] +@export +class ParenthesisName(Name): + _associations: List + +
+[docs] + def __init__(self, prefix: Name, associations: Iterable, parent: ModelEntity = None) -> None: + super().__init__("", prefix, parent) + + self._associations = [] + for association in associations: + self._associations.append(association) + association._parent = self
+ + + @readonly + def Associations(self) -> List: + return self._associations + +
+[docs] + def __str__(self) -> str: + return f"{self._prefix!s}({', '.join(str(a) for a in self._associations)})"
+
+ + + +
+[docs] +@export +class IndexedName(Name): + _indices: List[ExpressionUnion] + +
+[docs] + def __init__(self, prefix: Name, indices: Iterable[ExpressionUnion], parent: ModelEntity = None) -> None: + super().__init__("", prefix, parent) + + self._indices = [] + for index in indices: + self._indices.append(index) + index._parent = self
+ + + @readonly + def Indices(self) -> List[ExpressionUnion]: + return self._indices + +
+[docs] + def __str__(self) -> str: + return f"{self._prefix!s}({', '.join(str(i) for i in self._indices)})"
+
+ + + +
+[docs] +@export +class SlicedName(Name): + pass
+ + + +
+[docs] +@export +class SelectedName(Name): + """ + A *selected name* is a name made from multiple words separated by a dot (``.``). + + For example, the library and entity name in a direct entity instantiation is a selected name. Here the entity + identifier is a selected name. The library identifier is a :class:`simple name <SimpleName>`, which is + referenced by the selected name via the :attr:`~pyVHDLModel.Name.Prefix` property. + """ + +
+[docs] + def __init__(self, identifier: str, prefix: Name, parent: ModelEntity = None) -> None: + super().__init__(identifier, prefix, parent)
+ + +
+[docs] + def __str__(self) -> str: + return f"{self._prefix!s}.{self._identifier}"
+
+ + + +
+[docs] +@export +class AttributeName(Name): +
+[docs] + def __init__(self, identifier: str, prefix: Name, parent: ModelEntity = None) -> None: + super().__init__(identifier, prefix, parent)
+ + +
+[docs] + def __str__(self) -> str: + return f"{self._prefix!s}'{self._identifier}"
+
+ + + +
+[docs] +@export +class AllName(SelectedName): + """ + The *all name* represents the reserved word ``all`` used in names. + + Most likely this name is used in use-statements. + """ +
+[docs] + def __init__(self, prefix: Name, parent: ModelEntity = None) -> None: + super().__init__("all", prefix, parent) # TODO: the case of 'ALL' is not preserved
+
+ + + +
+[docs] +@export +class OpenName(Name): + """ + The *open name* represents the reserved word ``open``. + + Most likely this name is used in port associations. + """ +
+[docs] + def __init__(self, parent: ModelEntity = None) -> None: + super().__init__("open", parent) # TODO: the case of 'OPEN' is not preserved
+ + +
+[docs] + def __str__(self) -> str: + return "open"
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Object.html b/_modules/pyVHDLModel/Object.html new file mode 100644 index 000000000..2935f3eb2 --- /dev/null +++ b/_modules/pyVHDLModel/Object.html @@ -0,0 +1,444 @@ + + + + + + pyVHDLModel.Object — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Object

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Objects are constants, variables, signals and files.
+"""
+from typing                import Iterable, Optional as Nullable
+
+from pyTooling.Decorators  import export, readonly
+from pyTooling.MetaClasses import ExtendedType
+from pyTooling.Graph       import Vertex
+
+from pyVHDLModel.Base      import ModelEntity, MultipleNamedEntityMixin, DocumentedEntityMixin, ExpressionUnion
+from pyVHDLModel.Symbol    import Symbol
+
+
+
+[docs] +@export +class Obj(ModelEntity, MultipleNamedEntityMixin, DocumentedEntityMixin): + """ + Base-class for all objects (constants, signals, variables and files) in VHDL. + + An object (syntax element) can define multiple objects (semantic elements) in a single declaration, thus + :class:`~pyVHDLModel.Base.MultipleNamedEntityMixin` is inherited. All objects can be documented, thus + :class:`~pyVHDLModel.Base.DocumentedEntityMixin` is inherited too. + + Each object references a subtype via :data:`_subtype`. + + Objects are elements in the type and object graph, thus a reference to a vertex in that graph is stored in + :data:`__objectVertex`. + """ + + _subtype: Symbol + _objectVertex: Nullable[Vertex] + +
+[docs] + def __init__(self, identifiers: Iterable[str], subtype: Symbol, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(parent) + MultipleNamedEntityMixin.__init__(self, identifiers) + DocumentedEntityMixin.__init__(self, documentation) + + self._subtype = subtype + subtype._parent = self + + self._objectVertex = None
+ + + @readonly + def Subtype(self) -> Symbol: + return self._subtype + + @readonly + def ObjectVertex(self) -> Nullable[Vertex]: + """ + Read-only property to access the corresponding object vertex (:attr:`_objectVertex`). + + The object vertex references this Object by its value field. + + :returns: The corresponding object vertex. + """ + return self._objectVertex
+ + + +
+[docs] +@export +class WithDefaultExpressionMixin(metaclass=ExtendedType, mixin=True): + """ + A ``WithDefaultExpression`` is a mixin-class for all objects declarations accepting default expressions. + + The default expression is referenced by :data:`__defaultExpression`. If no default expression is present, this field + is ``None``. + """ + + _defaultExpression: Nullable[ExpressionUnion] + +
+[docs] + def __init__(self, defaultExpression: Nullable[ExpressionUnion] = None) -> None: + self._defaultExpression = defaultExpression + if defaultExpression is not None: + defaultExpression._parent = self
+ + + @readonly + def DefaultExpression(self) -> Nullable[ExpressionUnion]: + return self._defaultExpression
+ + + +
+[docs] +@export +class BaseConstant(Obj): + """ + Base-class for all constants (normal and deferred constants) in VHDL. + """
+ + + +
+[docs] +@export +class Constant(BaseConstant, WithDefaultExpressionMixin): + """ + Represents a constant. + + As constants (always) have a default expression, the class :class:`~pyVHDLModel.Object.WithDefaultExpressionMixin` is inherited. + + .. admonition:: Example + + .. code-block:: VHDL + + constant BITS : positive := 8; + """ + +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + subtype: Symbol, + defaultExpression: Nullable[ExpressionUnion] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, documentation, parent) + WithDefaultExpressionMixin.__init__(self, defaultExpression)
+
+ + + +
+[docs] +@export +class DeferredConstant(BaseConstant): + """ + Represents a deferred constant. + + Deferred constants are forward declarations for a (complete) constant declaration, thus it contains a + field :data:`__constantReference` to the complete constant declaration. + + .. admonition:: Example + + .. code-block:: VHDL + + constant BITS : positive; + """ + _constantReference: Nullable[Constant] + +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + subtype: Symbol, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, documentation, parent)
+ + + @readonly + def ConstantReference(self) -> Nullable[Constant]: + return self._constantReference + +
+[docs] + def __str__(self) -> str: + return f"constant {', '.join(self._identifiers)} : {self._subtype}"
+
+ + + +
+[docs] +@export +class Variable(Obj, WithDefaultExpressionMixin): + """ + Represents a variable. + + As variables might have a default expression, the class :class:`~pyVHDLModel.Object.WithDefaultExpressionMixin` is inherited. + + .. admonition:: Example + + .. code-block:: VHDL + + variable result : natural := 0; + """ + +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + subtype: Symbol, + defaultExpression: Nullable[ExpressionUnion] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, documentation, parent) + WithDefaultExpressionMixin.__init__(self, defaultExpression)
+
+ + + +
+[docs] +@export +class SharedVariable(Obj): + """ + Represents a shared variable. + + .. todo:: Shared variable object not implemented. + """
+ + + + +
+[docs] +@export +class Signal(Obj, WithDefaultExpressionMixin): + """ + Represents a signal. + + As signals might have a default expression, the class :class:`~pyVHDLModel.Object.WithDefaultExpressionMixin` is inherited. + + .. admonition:: Example + + .. code-block:: VHDL + + signal counter : unsigned(7 downto 0) := '0'; + """ + +
+[docs] + def __init__( + self, + identifiers: Iterable[str], + subtype: Symbol, + defaultExpression: Nullable[ExpressionUnion] = None, + documentation: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(identifiers, subtype, documentation, parent) + WithDefaultExpressionMixin.__init__(self, defaultExpression)
+
+ + + +
+[docs] +@export +class File(Obj): + """ + Represents a file. + + .. todo:: File object not implemented. + """
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/PSLModel.html b/_modules/pyVHDLModel/PSLModel.html new file mode 100644 index 000000000..ea501abb0 --- /dev/null +++ b/_modules/pyVHDLModel/PSLModel.html @@ -0,0 +1,253 @@ + + + + + + pyVHDLModel.PSLModel — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.PSLModel

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains an abstract document language model for PSL in VHDL.
+"""
+from pyTooling.Decorators import export
+
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin
+from pyVHDLModel.DesignUnit import PrimaryUnit
+
+
+
+[docs] +@export +class PSLEntity(ModelEntity): + pass
+ + + +
+[docs] +@export +class PSLPrimaryUnit(PrimaryUnit): + pass
+ + + +
+[docs] +@export +class VerificationUnit(PSLPrimaryUnit): +
+[docs] + def __init__(self, identifier: str) -> None: + super().__init__(identifier, parent=None)
+
+ + + +
+[docs] +@export +class VerificationProperty(PSLPrimaryUnit): +
+[docs] + def __init__(self, identifier: str) -> None: + super().__init__(identifier, parent=None)
+
+ + + +
+[docs] +@export +class VerificationMode(PSLPrimaryUnit): +
+[docs] + def __init__(self, identifier: str) -> None: + super().__init__(identifier, parent=None)
+
+ + + +
+[docs] +@export +class DefaultClock(PSLEntity, NamedEntityMixin): +
+[docs] + def __init__(self, identifier: str) -> None: + super().__init__() + NamedEntityMixin.__init__(self, identifier)
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Predefined.html b/_modules/pyVHDLModel/Predefined.html new file mode 100644 index 000000000..10aa92754 --- /dev/null +++ b/_modules/pyVHDLModel/Predefined.html @@ -0,0 +1,290 @@ + + + + + + pyVHDLModel.Predefined — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Predefined

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""This module contains base-classes for predefined library and package declarations."""
+from typing                 import Iterable
+
+from pyTooling.Decorators   import export
+from pyTooling.MetaClasses  import ExtendedType
+
+from pyVHDLModel            import Library, Package, PackageBody, AllPackageMembersReferenceSymbol, PackageMemberReferenceSymbol
+from pyVHDLModel.Name       import SimpleName, SelectedName, AllName
+from pyVHDLModel.Symbol     import LibraryReferenceSymbol, PackageSymbol
+from pyVHDLModel.DesignUnit import LibraryClause, UseClause
+
+
+
+[docs] +@export +class PredefinedLibrary(Library): + """ + A base-class for predefined VHDL libraries. + + VHDL defines 2 predefined libraries: + + * :class:`~pyVHDLModel.STD.Std` + * :class:`~pyVHDLModel.IEEE.Ieee` + """ + +
+[docs] + def __init__(self, packages) -> None: + super().__init__(self.__class__.__name__, None) + + self.AddPackages(packages)
+ + + def AddPackages(self, packages) -> None: + for packageType, packageBodyType in packages: + package: Package = packageType() + package.Library = self + self._packages[package.NormalizedIdentifier] = package + + if packageBodyType is not None: + packageBody: PackageBody = packageBodyType() + packageBody.Library = self + self._packageBodies[packageBody.NormalizedIdentifier] = packageBody
+ + + +
+[docs] +@export +class PredefinedPackageMixin(metaclass=ExtendedType, mixin=True): + """ + A mixin-class for predefined VHDL packages and package bodies. + """ + + def _AddLibraryClause(self, libraries: Iterable[str]): + symbols = [LibraryReferenceSymbol(SimpleName(libName)) for libName in libraries] + libraryClause = LibraryClause(symbols) + + self._contextItems.append(libraryClause) + self._libraryReferences.append(libraryClause) + + def _AddPackageClause(self, packages: Iterable[str]): + symbols = [] + for qualifiedPackageName in packages: + libName, packName, members = qualifiedPackageName.split(".") + + packageName = SelectedName(packName, SimpleName(libName)) + if members.lower() == "all": + symbols.append(AllPackageMembersReferenceSymbol(AllName(packageName))) + else: + symbols.append(PackageMemberReferenceSymbol(SelectedName(members, packageName))) + + useClause = UseClause(symbols) + self._contextItems.append(useClause) + self._packageReferences.append(useClause)
+ + + +
+[docs] +@export +class PredefinedPackage(Package, PredefinedPackageMixin): + """ + A base-class for predefined VHDL packages. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__(self.__class__.__name__, parent=None)
+
+ + + +
+[docs] +@export +class PredefinedPackageBody(PackageBody, PredefinedPackageMixin): + """ + A base-class for predefined VHDL package bodies. + """ + +
+[docs] + def __init__(self) -> None: + packageSymbol = PackageSymbol(SimpleName(self.__class__.__name__[:-5])) + super().__init__(packageSymbol, parent=None)
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Regions.html b/_modules/pyVHDLModel/Regions.html new file mode 100644 index 000000000..1c2aa804a --- /dev/null +++ b/_modules/pyVHDLModel/Regions.html @@ -0,0 +1,353 @@ + + + + + + pyVHDLModel.Regions — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Regions

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+tbd.
+"""
+from typing                 import List, Dict, Iterable, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+
+from pyVHDLModel.Object     import Constant, SharedVariable, File, Variable, Signal
+from pyVHDLModel.Subprogram import Subprogram, Function, Procedure
+from pyVHDLModel.Type       import Subtype, FullType
+
+
+
+[docs] +@export +class ConcurrentDeclarationRegionMixin(metaclass=ExtendedType, mixin=True): + # FIXME: define list prefix type e.g. via Union + _declaredItems: List #: List of all declared items in this concurrent declaration region. + + # _attributes: Dict[str, Attribute] + # _aliases: Dict[str, Alias] + _types: Dict[str, FullType] #: Dictionary of all types declared in this concurrent declaration region. + _subtypes: Dict[str, Subtype] #: Dictionary of all subtypes declared in this concurrent declaration region. + # _objects: Dict[str, Union[Constant, Variable, Signal]] + _constants: Dict[str, Constant] #: Dictionary of all constants declared in this concurrent declaration region. + _signals: Dict[str, Signal] #: Dictionary of all signals declared in this concurrent declaration region. + _sharedVariables: Dict[str, SharedVariable] #: Dictionary of all shared variables declared in this concurrent declaration region. + _files: Dict[str, File] #: Dictionary of all files declared in this concurrent declaration region. + # _subprograms: Dict[str, Dict[str, Subprogram]] #: Dictionary of all subprograms declared in this concurrent declaration region. + _functions: Dict[str, Dict[str, Function]] #: Dictionary of all functions declared in this concurrent declaration region. + _procedures: Dict[str, Dict[str, Procedure]] #: Dictionary of all procedures declared in this concurrent declaration region. + +
+[docs] + def __init__(self, declaredItems: Nullable[Iterable] = None) -> None: + # TODO: extract to mixin + self._declaredItems = [] # TODO: convert to dict + if declaredItems is not None: + for item in declaredItems: + self._declaredItems.append(item) + item._parent = self + + self._types = {} + self._subtypes = {} + # self._objects = {} + self._constants = {} + self._signals = {} + self._sharedVariables = {} + self._files = {} + # self._subprograms = {} + self._functions = {} + self._procedures = {}
+ + + @readonly + def DeclaredItems(self) -> List: + return self._declaredItems + + @readonly + def Types(self) -> Dict[str, FullType]: + return self._types + + @readonly + def Subtypes(self) -> Dict[str, Subtype]: + return self._subtypes + + # @readonly + # def Objects(self) -> Dict[str, Union[Constant, SharedVariable, Signal, File]]: + # return self._objects + + @readonly + def Constants(self) -> Dict[str, Constant]: + return self._constants + + @readonly + def Signals(self) -> Dict[str, Signal]: + return self._signals + + @readonly + def SharedVariables(self) -> Dict[str, SharedVariable]: + return self._sharedVariables + + @readonly + def Files(self) -> Dict[str, File]: + return self._files + + # @readonly + # def Subprograms(self) -> Dict[str, Subprogram]: + # return self._subprograms + + @readonly + def Functions(self) -> Dict[str, Dict[str, Function]]: + return self._functions + + @readonly + def Procedures(self) -> Dict[str, Dict[str, Procedure]]: + return self._procedures + +
+[docs] + def IndexDeclaredItems(self) -> None: + """ + Index declared items listed in the concurrent declaration region. + + .. rubric:: Algorithm + + 1. Iterate all declared items: + + * Every declared item is added to :attr:`_namespace`. + * If the declared item is a :class:`~pyVHDLModel.Type.FullType`, then add an entry to :attr:`_types`. + * If the declared item is a :class:`~pyVHDLModel.Type.SubType`, then add an entry to :attr:`_subtypes`. + * If the declared item is a :class:`~pyVHDLModel.Subprogram.Function`, then add an entry to :attr:`_functions`. + * If the declared item is a :class:`~pyVHDLModel.Subprogram.Procedure`, then add an entry to :attr:`_procedures`. + * If the declared item is a :class:`~pyVHDLModel.Object.Constant`, then add an entry to :attr:`_constants`. + * If the declared item is a :class:`~pyVHDLModel.Object.Signal`, then add an entry to :attr:`_signals`. + * If the declared item is a :class:`~pyVHDLModel.Object.Variable`, TODO. + * If the declared item is a :class:`~pyVHDLModel.Object.SharedVariable`, then add an entry to :attr:`_sharedVariables`. + * If the declared item is a :class:`~pyVHDLModel.Object.File`, then add an entry to :attr:`_files`. + * If the declared item is neither of these types, call :meth:`_IndexOtherDeclaredItem`. |br| + Derived classes may override this virtual function. + + .. seealso:: + + :meth:`pyVHDLModel.Design.IndexPackages` + Iterate all packages in the design and index declared items. + :meth:`pyVHDLModel.Library.IndexPackages` + Iterate all packages in the library and index declared items. + :meth:`pyVHDLModel.Library._IndexOtherDeclaredItem` + Iterate all packages in the library and index declared items. + """ + for item in self._declaredItems: + if isinstance(item, FullType): + self._types[item._normalizedIdentifier] = item + self._namespace._elements[item._normalizedIdentifier] = item + elif isinstance(item, Subtype): + self._subtypes[item._normalizedIdentifier] = item + self._namespace._elements[item._normalizedIdentifier] = item + elif isinstance(item, Function): + self._functions[item._normalizedIdentifier] = item + self._namespace._elements[item._normalizedIdentifier] = item + elif isinstance(item, Procedure): + self._procedures[item._normalizedIdentifier] = item + self._namespace._elements[item._normalizedIdentifier] = item + elif isinstance(item, Constant): + for normalizedIdentifier in item._normalizedIdentifiers: + self._constants[normalizedIdentifier] = item + self._namespace._elements[normalizedIdentifier] = item + # self._objects[normalizedIdentifier] = item + elif isinstance(item, Signal): + for normalizedIdentifier in item._normalizedIdentifiers: + self._signals[normalizedIdentifier] = item + self._namespace._elements[normalizedIdentifier] = item + elif isinstance(item, Variable): + print(f"IndexDeclaredItems - {item._identifiers}") + elif isinstance(item, SharedVariable): + for normalizedIdentifier in item._normalizedIdentifiers: + self._sharedVariables[normalizedIdentifier] = item + self._namespace._elements[normalizedIdentifier] = item + elif isinstance(item, File): + for normalizedIdentifier in item._normalizedIdentifiers: + self._files[normalizedIdentifier] = item + self._namespace._elements[normalizedIdentifier] = item + else: + self._IndexOtherDeclaredItem(item)
+ + + def _IndexOtherDeclaredItem(self, item) -> None: + print(f"_IndexOtherDeclaredItem - {item}\n ({' -> '.join(t.__name__ for t in type(item).mro())})")
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/STD.html b/_modules/pyVHDLModel/STD.html new file mode 100644 index 000000000..899d29935 --- /dev/null +++ b/_modules/pyVHDLModel/STD.html @@ -0,0 +1,433 @@ + + + + + + pyVHDLModel.STD — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.STD

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""This module contains library and package declarations for VHDL library ``STD``."""
+
+from pyTooling.Decorators    import export
+
+from pyVHDLModel.Base        import Range, Direction
+from pyVHDLModel.Name        import SimpleName
+from pyVHDLModel.Symbol      import SimpleSubtypeSymbol
+from pyVHDLModel.Expression  import EnumerationLiteral, IntegerLiteral, PhysicalIntegerLiteral
+from pyVHDLModel.Type        import EnumeratedType, IntegerType, Subtype, PhysicalType, ArrayType
+from pyVHDLModel.Predefined  import PredefinedLibrary, PredefinedPackage, PredefinedPackageBody
+
+
+
+[docs] +@export +class Std(PredefinedLibrary): + """ + Predefined VHDL library ``std``. + + The following predefined packages are in this library: + + * :class:`~pyVHDLModel.STD.Standard` + * :class:`~pyVHDLModel.STD.Env` + * :class:`~pyVHDLModel.STD.TextIO` + + .. seealso:: + + Other predefined libraries: + * Library :class:`~pyVHDLModel.IEEE.Ieee` + """ + +
+[docs] + def __init__(self) -> None: + super().__init__(PACKAGES)
+
+ + + +
+[docs] +@export +class Standard(PredefinedPackage): + """ + Predefined package ``std.standard``. + + Predefined types: + + * ``boolean``, ``boolean_vector`` + * ``bit``, ``bit_vector`` + * ``character``, ``string`` + * ``integer``, ``integer_vector`` + * ``natural``, ``positive`` + * ``real``, ``real_vector`` + * ``time``, ``time_vector`` + * ``open_file_kind``, ``open_file_status`` + + .. seealso:: + + Matching :class:`Package Body <pyVHDLModel.STD.Standard_Body>` declaration. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + boolean = EnumeratedType("boolean", (EnumerationLiteral("false"), EnumerationLiteral("true")), None) + self._types[boolean._normalizedIdentifier] = boolean + self._declaredItems.append(boolean) + + bit = EnumeratedType("bit", (EnumerationLiteral("'0'"), EnumerationLiteral("'1'")), None) + self._types[bit._normalizedIdentifier] = bit + self._declaredItems.append(bit) + + chars = \ + "nul", "soh", "stx", "etx", "eot", "enq", "ack", "bel", "bs", "ht", "lf", "vt", "ff", "cr", "so", "si", "dle", "dc1", "dc2", "dc3",\ + "dc4", "nak", "syn", "etb", "can", "em", "sub", "esc", "fsp", "gsp", "rsp", "usp", "' '", "'!'", "'\"'", "'#'", "'$'", "'%'", "'&'", "'''",\ + "'('", "')'", "'*'", "'+'", "','", "'-'", "'.'", "'/'", "'0'", "'1'", "'2'", "'3'", "'4'", "'5'", "'6'", "'7'", "'8'", "'9'", "':'", "';'",\ + "'<'", "'='", "'>'", "'?'", "'@'", "'A'", "'B'", "'C'", "'D'", "'E'", "'F'", "'G'", "'H'", "'I'", "'J'", "'K'", "'L'", "'M'", "'N'", "'O'",\ + "'P'", "'Q'", "'R'", "'S'", "'T'", "'U'", "'V'", "'W'", "'X'", "'Y'", "'Z'", "'['", "'\'", "']'", "'^'", "'_'", "'`'", "'a'", "'b'", "'c'",\ + "'d'", "'e'", "'f'", "'g'", "'h'", "'i'", "'j'", "'k'", "'l'", "'m'", "'n'", "'o'", "'p'", "'q'", "'r'", "'s'", "'t'", "'u'", "'v'", "'w'",\ + "'x'", "'y'", "'z'", "'{'", "'|'", "'}'", "'~'", "del", "c128", "c129", "c130", "c131", "c132", "c133", "c134", "c135", "c136", "c137", "c138", "c139",\ + "c140", "c141", "c142", "c143", "c144", "c145", "c146", "c147", "c148", "c149", "c150", "c151", "c152", "c153", "c154", "c155", "c156", "c157", "c158", "c159",\ + "' '", "'¡'", "'¢'", "'£'", "'¤'", "'¥'", "'¦'", "'§'", "'¨'", "'©'", "'ª'", "'«'", "'¬'", "'­'", "'®'", "'¯'", "'°'", "'±'", "'²'", "'³'",\ + "'´'", "'µ'", "'¶'", "'·'", "'¸'", "'¹'", "'º'", "'»'", "'¼'", "'½'", "'¾'", "'¿'", "'À'", "'Á'", "'Â'", "'Ã'", "'Ä'", "'Å'", "'Æ'", "'Ç'",\ + "'È'", "'É'", "'Ê'", "'Ë'", "'Ì'", "'Í'", "'Î'", "'Ï'", "'Ð'", "'Ñ'", "'Ò'", "'Ó'", "'Ô'", "'Õ'", "'Ö'", "'×'", "'Ø'", "'Ù'", "'Ú'", "'Û'",\ + "'Ü'", "'Ý'", "'Þ'", "'ß'", "'à'", "'á'", "'â'", "'ã'", "'ä'", "'å'", "'æ'", "'ç'", "'è'", "'é'", "'ê'", "'ë'", "'ì'", "'í'", "'î'", "'ï'",\ + "'ð'", "'ñ'", "'ò'", "'ó'", "'ô'", "'õ'", "'ö'", "'÷'", "'ø'", "'ù'", "'ú'", "'û'", "'ü'", "'ý'", "'þ'", "'ÿ'" + character = EnumeratedType("character", [EnumerationLiteral(char) for char in chars], None) + self._types[character._normalizedIdentifier] = character + self._declaredItems.append(character) + + levels = "note", "warning", "error", "failure" + severityLevel = EnumeratedType("severityLevel", [EnumerationLiteral(level) for level in levels], None) + self._types[severityLevel._normalizedIdentifier] = severityLevel + self._declaredItems.append(severityLevel) + + integer = IntegerType("integer", Range(IntegerLiteral(-2**31), IntegerLiteral(2**31 - 1), Direction.To), None) + self._types[integer._normalizedIdentifier] = integer + self._declaredItems.append(integer) + + # real + + time = PhysicalType("time", Range(IntegerLiteral(-2**63), IntegerLiteral(2**63 - 1), Direction.To), primaryUnit="fs", units=( + ("ps", PhysicalIntegerLiteral(1000, "fs")), + ("ns", PhysicalIntegerLiteral(1000, "ps")), + ("us", PhysicalIntegerLiteral(1000, "ns")), + ("ms", PhysicalIntegerLiteral(1000, "us")), + ("sec", PhysicalIntegerLiteral(1000, "ms")), + ("min", PhysicalIntegerLiteral(60, "sec")), + ("hr", PhysicalIntegerLiteral(60, "min")), + ), parent=None) + self._types[time._normalizedIdentifier] = time + self._declaredItems.append(time) + + # delay_length + + # now + + natural = Subtype("natural", SimpleSubtypeSymbol(SimpleName("integer")), None) + natural._baseType = integer + natural._range = Range(IntegerLiteral(0), IntegerLiteral(2**31 - 1), Direction.To) + self._subtypes[natural._normalizedIdentifier] = natural + self._declaredItems.append(natural) + + positive = Subtype("positive", SimpleSubtypeSymbol(SimpleName("integer")), None) + positive._baseType = integer + positive._range = Range(IntegerLiteral(1), IntegerLiteral(2**31 - 1), Direction.To) + self._subtypes[positive._normalizedIdentifier] = positive + self._declaredItems.append(positive) + + string = ArrayType("string", (SimpleSubtypeSymbol(SimpleName("positive")),), SimpleSubtypeSymbol(SimpleName("character")), None) + self._types[string._normalizedIdentifier] = string + self._declaredItems.append(string) + + booleanVector = ArrayType("boolean_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("boolean")), None) + self._types[booleanVector._normalizedIdentifier] = booleanVector + self._declaredItems.append(booleanVector) + + bitVector = ArrayType("bit_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("bit")), None) + self._types[bitVector._normalizedIdentifier] = bitVector + self._declaredItems.append(bitVector) + + integerVector = ArrayType("integer_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("integer")), None) + self._types[integerVector._normalizedIdentifier] = integerVector + self._declaredItems.append(integerVector) + + # real_vector + + timeVector = ArrayType("time_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("time")), None) + self._types[timeVector._normalizedIdentifier] = timeVector + self._declaredItems.append(timeVector) + + fileOpenKinds = "read_mode", "write_mode", "append_mode" + openFileKind = EnumeratedType("open_file_kind", [EnumerationLiteral(kind) for kind in fileOpenKinds], None) + self._types[openFileKind._normalizedIdentifier] = openFileKind + self._declaredItems.append(openFileKind) + + fileOpenStati = "open_ok", "status_error", "name_error", "mode_error" + fileOpenStatus = EnumeratedType("open_file_status", [EnumerationLiteral(status) for status in fileOpenStati], None) + self._types[fileOpenStatus._normalizedIdentifier] = fileOpenStatus + self._declaredItems.append(fileOpenStatus)
+
+ + + # attribute foreign + + +
+[docs] +@export +class Standard_Body(PredefinedPackageBody): + """ + Predefined package body of package ``std.standard``. + + .. seealso:: + + Matching :class:`Package <pyVHDLModel.STD.Standard>` declaration. + """
+ + + +
+[docs] +@export +class TextIO(PredefinedPackage): + """ + Predefined package ``std.textio``. + + .. seealso:: + + Matching :class:`Package Body <pyVHDLModel.STD.TextIO_Body>` declaration. + """
+ + + +
+[docs] +@export +class TextIO_Body(PredefinedPackageBody): + """ + Predefined package body of package ``std.textio``. + + .. seealso:: + + Matching :class:`Package <pyVHDLModel.STD.TextIO>` declaration. + """
+ + + +
+[docs] +@export +class Env(PredefinedPackage): + """ + Predefined package ``std.env``. + + .. seealso:: + + Matching :class:`Package Body <pyVHDLModel.STD.Env_Body>` declaration. + """ + +
+[docs] + def __init__(self) -> None: + super().__init__() + + self._AddPackageClause(("work.textio.all",))
+
+ + + +
+[docs] +@export +class Env_Body(PredefinedPackageBody): + """ + Predefined package body of package ``std.env``. + + .. seealso:: + + Matching :class:`Package <pyVHDLModel.STD.Env>` declaration. + """
+ + + +PACKAGES = ( + (Standard, Standard_Body), + (TextIO, TextIO_Body), + (Env, Env_Body), +) +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Sequential.html b/_modules/pyVHDLModel/Sequential.html new file mode 100644 index 000000000..a9eea193d --- /dev/null +++ b/_modules/pyVHDLModel/Sequential.html @@ -0,0 +1,828 @@ + + + + + + pyVHDLModel.Sequential — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Sequential

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Declarations for sequential statements.
+"""
+from typing                  import List, Iterable, Optional as Nullable
+
+from pyTooling.Decorators    import export, readonly
+from pyTooling.MetaClasses   import ExtendedType
+
+from pyVHDLModel.Base        import ModelEntity, ExpressionUnion, Range, BaseChoice, BaseCase, ConditionalMixin, IfBranchMixin, ElsifBranchMixin
+from pyVHDLModel.Base        import ElseBranchMixin, ReportStatementMixin, AssertStatementMixin, WaveformElement
+from pyVHDLModel.Symbol      import Symbol
+from pyVHDLModel.Common      import Statement, ProcedureCallMixin
+from pyVHDLModel.Common      import SignalAssignmentMixin, VariableAssignmentMixin
+from pyVHDLModel.Association import ParameterAssociationItem
+
+
+
+[docs] +@export +class SequentialStatement(Statement): + """A ``SequentialStatement`` is a base-class for all sequential statements."""
+ + + +
+[docs] +@export +class SequentialStatementsMixin(metaclass=ExtendedType, mixin=True): + _statements: List[SequentialStatement] + +
+[docs] + def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None) -> None: + # TODO: extract to mixin + self._statements = [] + if statements is not None: + for item in statements: + self._statements.append(item) + item._parent = self
+ + + @readonly + def Statements(self) -> List[SequentialStatement]: + """ + Read-only property to access the list of sequential statements (:attr:`_statements`). + + :returns: A list of sequential statements. + """ + return self._statements
+ + + +
+[docs] +@export +class SequentialProcedureCall(SequentialStatement, ProcedureCallMixin): +
+[docs] + def __init__( + self, + procedureName: Symbol, + parameterMappings: Nullable[Iterable[ParameterAssociationItem]] = None, + label: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + ProcedureCallMixin.__init__(self, procedureName, parameterMappings)
+
+ + + +
+[docs] +@export +class SequentialSignalAssignment(SequentialStatement, SignalAssignmentMixin): +
+[docs] + def __init__(self, target: Symbol, label: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(label, parent) + SignalAssignmentMixin.__init__(self, target)
+
+ + + +
+[docs] +@export +class SequentialSimpleSignalAssignment(SequentialSignalAssignment): + _waveform: List[WaveformElement] + +
+[docs] + def __init__(self, target: Symbol, waveform: Iterable[WaveformElement], label: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(target, label, parent) + + # TODO: extract to mixin + self._waveform = [] + if waveform is not None: + for waveformElement in waveform: + self._waveform.append(waveformElement) + waveformElement._parent = self
+ + + @readonly + def Waveform(self) -> List[WaveformElement]: + """ + Read-only property to access the list waveform elements (:attr:`_waveform`). + + :returns: A list of waveform elements. + """ + return self._waveform
+ + + +
+[docs] +@export +class SequentialVariableAssignment(SequentialStatement, VariableAssignmentMixin): +
+[docs] + def __init__(self, target: Symbol, expression: ExpressionUnion, label: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(label, parent) + VariableAssignmentMixin.__init__(self, target, expression)
+
+ + + +
+[docs] +@export +class SequentialReportStatement(SequentialStatement, ReportStatementMixin): +
+[docs] + def __init__(self, message: ExpressionUnion, severity: Nullable[ExpressionUnion] = None, label: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(label, parent) + ReportStatementMixin.__init__(self, message, severity)
+
+ + + +
+[docs] +@export +class SequentialAssertStatement(SequentialStatement, AssertStatementMixin): +
+[docs] + def __init__( + self, + condition: ExpressionUnion, + message: Nullable[ExpressionUnion] = None, + severity: Nullable[ExpressionUnion] = None, + label: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + AssertStatementMixin.__init__(self, condition, message, severity)
+
+ + + +
+[docs] +@export +class CompoundStatement(SequentialStatement): + """A ``CompoundStatement`` is a base-class for all compound statements."""
+ + + +
+[docs] +@export +class Branch(ModelEntity, SequentialStatementsMixin): + """A ``Branch`` is a base-class for all branches in a if statement.""" + +
+[docs] + def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None: + super().__init__(parent) + SequentialStatementsMixin.__init__(self, statements)
+
+ + + +
+[docs] +@export +class IfBranch(Branch, IfBranchMixin): +
+[docs] + def __init__(self, condition: ExpressionUnion, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None: + super().__init__(statements, parent) + IfBranchMixin.__init__(self, condition)
+
+ + + +
+[docs] +@export +class ElsifBranch(Branch, ElsifBranchMixin): +
+[docs] + def __init__(self, condition: ExpressionUnion, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None: + super().__init__(statements, parent) + ElsifBranchMixin.__init__(self, condition)
+
+ + + +
+[docs] +@export +class ElseBranch(Branch, ElseBranchMixin): +
+[docs] + def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None: + super().__init__(statements, parent) + ElseBranchMixin.__init__(self)
+
+ + + +
+[docs] +@export +class IfStatement(CompoundStatement): + _ifBranch: IfBranch + _elsifBranches: List['ElsifBranch'] + _elseBranch: Nullable[ElseBranch] + +
+[docs] + def __init__( + self, + ifBranch: IfBranch, + elsifBranches: Nullable[Iterable[ElsifBranch]] = None, + elseBranch: Nullable[ElseBranch] = None, + label: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + + self._ifBranch = ifBranch + ifBranch._parent = self + + self._elsifBranches = [] + if elsifBranches is not None: + for branch in elsifBranches: + self._elsifBranches.append(branch) + branch._parent = self + + if elseBranch is not None: + self._elseBranch = elseBranch + elseBranch._parent = self + else: + self._elseBranch = None
+ + + @readonly + def IfBranch(self) -> IfBranch: + """ + Read-only property to access the if-branch of the if-statement (:attr:`_ifBranch`). + + :returns: The if-branch. + """ + return self._ifBranch + + @property + def ElsIfBranches(self) -> List['ElsifBranch']: + """ + Read-only property to access the elsif-branch of the if-statement (:attr:`_elsifBranch`). + + :returns: The elsif-branch. + """ + return self._elsifBranches + + @property + def ElseBranch(self) -> Nullable[ElseBranch]: + """ + Read-only property to access the else-branch of the if-statement (:attr:`_elseBranch`). + + :returns: The else-branch. + """ + return self._elseBranch
+ + + +
+[docs] +@export +class SequentialChoice(BaseChoice): + """A ``SequentialChoice`` is a base-class for all sequential choices (in case statements)."""
+ + + +
+[docs] +@export +class IndexedChoice(SequentialChoice): + _expression: ExpressionUnion + +
+[docs] + def __init__(self, expression: ExpressionUnion, parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._expression = expression
+ + # expression._parent = self # FIXME: received None + + @property + def Expression(self) -> ExpressionUnion: + return self._expression + +
+[docs] + def __str__(self) -> str: + return str(self._expression)
+
+ + + +
+[docs] +@export +class RangedChoice(SequentialChoice): + _range: 'Range' + +
+[docs] + def __init__(self, rng: 'Range', parent: ModelEntity = None) -> None: + super().__init__(parent) + + self._range = rng + rng._parent = self
+ + + @property + def Range(self) -> 'Range': + return self._range + +
+[docs] + def __str__(self) -> str: + return str(self._range)
+
+ + + +
+[docs] +@export +class SequentialCase(BaseCase, SequentialStatementsMixin): + _choices: List + +
+[docs] + def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None: + super().__init__(parent) + SequentialStatementsMixin.__init__(self, statements)
+ + + # TODO: what about choices? + + @property + def Choices(self) -> List[BaseChoice]: + return self._choices
+ + + +
+[docs] +@export +class Case(SequentialCase): +
+[docs] + def __init__(self, choices: Iterable[SequentialChoice], statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None: + super().__init__(statements, parent) + + self._choices = [] + if choices is not None: + for choice in choices: + self._choices.append(choice) + choice._parent = self
+ + + @property + def Choices(self) -> List[SequentialChoice]: + return self._choices + +
+[docs] + def __str__(self) -> str: + return "when {choices} =>".format(choices=" | ".join(str(c) for c in self._choices))
+
+ + + +
+[docs] +@export +class OthersCase(SequentialCase): +
+[docs] + def __str__(self) -> str: + return "when others =>"
+
+ + + +
+[docs] +@export +class CaseStatement(CompoundStatement): + _expression: ExpressionUnion + _cases: List[SequentialCase] + +
+[docs] + def __init__(self, expression: ExpressionUnion, cases: Iterable[SequentialCase], label: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(label, parent) + + self._expression = expression + expression._parent = self + + self._cases = [] + if cases is not None: + for case in cases: + self._cases.append(case) + case._parent = self
+ + + @property + def SelectExpression(self) -> ExpressionUnion: + return self._expression + + @property + def Cases(self) -> List[SequentialCase]: + return self._cases
+ + + +
+[docs] +@export +class LoopStatement(CompoundStatement, SequentialStatementsMixin): + """A ``LoopStatement`` is a base-class for all loop statements.""" + +
+[docs] + def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None, label: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(label, parent) + SequentialStatementsMixin.__init__(self, statements)
+
+ + + +
+[docs] +@export +class EndlessLoopStatement(LoopStatement): + pass
+ + + +
+[docs] +@export +class ForLoopStatement(LoopStatement): + _loopIndex: str + _range: Range + +
+[docs] + def __init__(self, loopIndex: str, rng: Range, statements: Nullable[Iterable[SequentialStatement]] = None, label: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(statements, label, parent) + + self._loopIndex = loopIndex + + self._range = rng + rng._parent = self
+ + + @property + def LoopIndex(self) -> str: + return self._loopIndex + + @property + def Range(self) -> Range: + return self._range
+ + + +
+[docs] +@export +class WhileLoopStatement(LoopStatement, ConditionalMixin): +
+[docs] + def __init__( + self, + condition: ExpressionUnion, + statements: Nullable[Iterable[SequentialStatement]] = None, + label: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(statements, label, parent) + ConditionalMixin.__init__(self, condition)
+
+ + + +
+[docs] +@export +class LoopControlStatement(SequentialStatement, ConditionalMixin): + """A ``LoopControlStatement`` is a base-class for all loop controlling statements.""" + + _loopReference: LoopStatement + +
+[docs] + def __init__(self, condition: Nullable[ExpressionUnion] = None, loopLabel: Nullable[str] = None, parent: ModelEntity = None) -> None: # TODO: is this label (currently str) a Name or a Label class? + super().__init__(parent) + ConditionalMixin.__init__(self, condition)
+ + + # TODO: loopLabel + # TODO: loop reference -> is it a symbol? + + @property + def LoopReference(self) -> LoopStatement: + return self._loopReference
+ + + +
+[docs] +@export +class NextStatement(LoopControlStatement): + pass
+ + + +
+[docs] +@export +class ExitStatement(LoopControlStatement): + pass
+ + + +
+[docs] +@export +class NullStatement(SequentialStatement): + pass
+ + + +
+[docs] +@export +class ReturnStatement(SequentialStatement, ConditionalMixin): + _returnValue: ExpressionUnion + +
+[docs] + def __init__(self, returnValue: Nullable[ExpressionUnion] = None, parent: ModelEntity = None) -> None: + super().__init__(parent) + ConditionalMixin.__init__(self, returnValue)
+ + + # TODO: return value? + + @property + def ReturnValue(self) -> ExpressionUnion: + return self._returnValue
+ + + +
+[docs] +@export +class WaitStatement(SequentialStatement, ConditionalMixin): + _sensitivityList: Nullable[List[Symbol]] + _timeout: ExpressionUnion + +
+[docs] + def __init__( + self, + sensitivityList: Nullable[Iterable[Symbol]] = None, + condition: Nullable[ExpressionUnion] = None, + timeout: Nullable[ExpressionUnion] = None, + label: Nullable[str] = None, + parent: ModelEntity = None + ) -> None: + super().__init__(label, parent) + ConditionalMixin.__init__(self, condition) + + if sensitivityList is None: + self._sensitivityList = None + else: + self._sensitivityList = [] # TODO: convert to dict + for signalSymbol in sensitivityList: + self._sensitivityList.append(signalSymbol) + signalSymbol._parent = self + + self._timeout = timeout + if timeout is not None: + timeout._parent = self
+ + + @property + def SensitivityList(self) -> List[Symbol]: + return self._sensitivityList + + @property + def Timeout(self) -> ExpressionUnion: + return self._timeout
+ + + +
+[docs] +@export +class SequentialDeclarationsMixin(metaclass=ExtendedType, mixin=True): + _declaredItems: List + +
+[docs] + def __init__(self, declaredItems: Iterable) -> None: + # TODO: extract to mixin + self._declaredItems = [] # TODO: convert to dict + if declaredItems is not None: + for item in declaredItems: + self._declaredItems.append(item) + item._parent = self
+ + + @property + def DeclaredItems(self) -> List: + return self._declaredItems
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Subprogram.html b/_modules/pyVHDLModel/Subprogram.html new file mode 100644 index 000000000..fad4761a5 --- /dev/null +++ b/_modules/pyVHDLModel/Subprogram.html @@ -0,0 +1,319 @@ + + + + + + pyVHDLModel.Subprogram — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Subprogram

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Subprograms are procedures, functions and methods.
+"""
+from typing                 import List, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin, DocumentedEntityMixin
+from pyVHDLModel.Type       import Subtype, ProtectedType
+from pyVHDLModel.Sequential import SequentialStatement
+
+
+
+[docs] +@export +class Subprogram(ModelEntity, NamedEntityMixin, DocumentedEntityMixin): + _genericItems: List['GenericInterfaceItem'] + _parameterItems: List['ParameterInterfaceItem'] + _declaredItems: List + _statements: List['SequentialStatement'] + _isPure: bool + +
+[docs] + def __init__(self, identifier: str, isPure: bool, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(parent) + NamedEntityMixin.__init__(self, identifier) + DocumentedEntityMixin.__init__(self, documentation) + + self._genericItems = [] # TODO: convert to dict + self._parameterItems = [] # TODO: convert to dict + self._declaredItems = [] # TODO: use mixin class + self._statements = [] # TODO: use mixin class + self._isPure = isPure
+ + + @readonly + def GenericItems(self) -> List['GenericInterfaceItem']: + return self._genericItems + + @readonly + def ParameterItems(self) -> List['ParameterInterfaceItem']: + return self._parameterItems + + @readonly + def DeclaredItems(self) -> List: + return self._declaredItems + + @readonly + def Statements(self) -> List['SequentialStatement']: + return self._statements + + @readonly + def IsPure(self) -> bool: + return self._isPure
+ + + +
+[docs] +@export +class Procedure(Subprogram): +
+[docs] + def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, False, documentation, parent)
+
+ + + +
+[docs] +@export +class Function(Subprogram): + _returnType: Subtype + +
+[docs] + def __init__(self, identifier: str, isPure: bool = True, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, isPure, documentation, parent)
+ + + # FIXME: return type is missing + + @readonly + def ReturnType(self) -> Subtype: + return self._returnType
+ + + +
+[docs] +@export +class MethodMixin(metaclass=ExtendedType, mixin=True): + """A ``Method`` is a mixin class for all subprograms in a protected type.""" + + _protectedType: ProtectedType + +
+[docs] + def __init__(self, protectedType: ProtectedType) -> None: + self._protectedType = protectedType + protectedType._parent = self
+ + + @readonly + def ProtectedType(self) -> ProtectedType: + return self._protectedType
+ + + +
+[docs] +@export +class ProcedureMethod(Procedure, MethodMixin): +
+[docs] + def __init__(self, identifier: str, documentation: Nullable[str] = None, protectedType: Nullable[ProtectedType] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, documentation, parent) + MethodMixin.__init__(self, protectedType)
+
+ + + +
+[docs] +@export +class FunctionMethod(Function, MethodMixin): +
+[docs] + def __init__(self, identifier: str, isPure: bool = True, documentation: Nullable[str] = None, protectedType: Nullable[ProtectedType] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, isPure, documentation, parent) + MethodMixin.__init__(self, protectedType)
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Symbol.html b/_modules/pyVHDLModel/Symbol.html new file mode 100644 index 000000000..8725da9e2 --- /dev/null +++ b/_modules/pyVHDLModel/Symbol.html @@ -0,0 +1,751 @@ + + + + + + pyVHDLModel.Symbol — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Symbol

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Symbols are entity specific wrappers for names that reference VHDL language entities.
+"""
+from enum                  import Flag, auto
+from typing                import Any, Optional as Nullable, Iterable, List, Dict, Mapping
+
+from pyTooling.Decorators  import export, readonly
+from pyTooling.MetaClasses import ExtendedType
+
+from pyVHDLModel.Base      import Range
+from pyVHDLModel.Name      import Name, AllName
+
+
+
+[docs] +@export +class PossibleReference(Flag): + """ + Is an enumeration, representing possible targets for a reference in a :class:`~pyVHDLModel.Symbol`. + """ + + Unknown = 0 + Library = auto() #: Library + Entity = auto() #: Entity + Architecture = auto() #: Architecture + Component = auto() #: Component + Package = auto() #: Package + Configuration = auto() #: Configuration + Context = auto() #: Context + Type = auto() #: Type + Subtype = auto() #: Subtype + ScalarType = auto() #: ScalarType + ArrayType = auto() #: ArrayType + RecordType = auto() #: RecordType + RecordElement = auto() #: RecordElement + AccessType = auto() #: AccessType + ProtectedType = auto() #: ProtectedType + FileType = auto() #: FileType +# Alias = auto() # TODO: Is this needed? + Attribute = auto() #: Attribute + TypeAttribute = auto() #: TypeAttribute + ValueAttribute = auto() #: ValueAttribute + SignalAttribute = auto() #: SignalAttribute + RangeAttribute = auto() #: RangeAttribute + ViewAttribute = auto() #: ViewAttribute + Constant = auto() #: Constant + Variable = auto() #: Variable + Signal = auto() #: Signal + File = auto() #: File +# Object = auto() # TODO: Is this needed? + EnumLiteral = auto() #: EnumLiteral + Procedure = auto() #: Procedure + Function = auto() #: Function + Label = auto() #: Label + View = auto() #: View + + AnyType = ScalarType | ArrayType | RecordType | ProtectedType | AccessType | FileType | Subtype #: Any possible type incl. subtypes. + Object = Constant | Variable | Signal # | File #: Any object + SubProgram = Procedure | Function #: Any subprogram + PackageMember = AnyType | Object | SubProgram | Component #: Any member of a package + SimpleNameInExpression = Constant | Variable | Signal | ScalarType | EnumLiteral | Function #: Any possible item in an expression.
+ + + +
+[docs] +@export +class Symbol(metaclass=ExtendedType): + """ + Base-class for all symbol classes. + """ + + _name: Name #: The name to reference the langauge entity. + _possibleReferences: PossibleReference #: An enumeration to filter possible references. + _reference: Nullable[Any] #: The resolved language entity, otherwise ``None``. + +
+[docs] + def __init__(self, name: Name, possibleReferences: PossibleReference) -> None: + self._name = name + self._possibleReferences = possibleReferences + self._reference = None
+ + + @readonly + def Name(self) -> Name: + return self._name + + @readonly + def Reference(self) -> Nullable[Any]: + return self._reference + + @readonly + def IsResolved(self) -> bool: + return self._reference is not None + + def __bool__(self) -> bool: + return self._reference is not None + +
+[docs] + def __repr__(self) -> str: + if self._reference is not None: + return f"{self.__class__.__name__}: '{self._name!s}' -> {self._reference!s}" + + return f"{self.__class__.__name__}: '{self._name!s}' -> unresolved"
+ + +
+[docs] + def __str__(self) -> str: + if self._reference is not None: + return str(self._reference) + + return f"{self._name!s}?"
+
+ + + +
+[docs] +@export +class LibraryReferenceSymbol(Symbol): + """ + Represents a reference (name) to a library. + + The internal name will be a :class:`~pyVHDLModel.Name.SimpleName`. + + .. admonition:: Example + + .. code-block:: VHDL + + library ieee; + -- ^^^^ + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Library)
+ + + @readonly + def Library(self) -> Nullable['Library']: + return self._reference + + @Library.setter + def Library(self, value: 'Library') -> None: + self._reference = value
+ + + +
+[docs] +@export +class PackageReferenceSymbol(Symbol): + """ + Represents a reference (name) to a package. + + The internal name will be a :class:`~pyVHDLModel.Name.SelectedName`. + + .. admonition:: Example + + .. code-block:: VHDL + + use ieee.numeric_std; + -- ^^^^^^^^^^^^^^^^ + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Package)
+ + + @property + def Package(self) -> Nullable['Package']: + return self._reference + + @Package.setter + def Package(self, value: 'Package') -> None: + self._reference = value
+ + + +
+[docs] +@export +class ContextReferenceSymbol(Symbol): + """ + Represents a reference (name) to a context. + + The internal name will be a :class:`~pyVHDLModel.Name.SelectedName`. + + .. admonition:: Example + + .. code-block:: VHDL + + context ieee.ieee_std_context; + -- ^^^^^^^^^^^^^^^^^^^^^ + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Context)
+ + + @property + def Context(self) -> 'Context': + return self._reference + + @Context.setter + def Context(self, value: 'Context') -> None: + self._reference = value
+ + + +
+[docs] +@export +class PackageMemberReferenceSymbol(Symbol): + """ + Represents a reference (name) to a package member. + + The internal name will be a :class:`~pyVHDLModel.Name.SelectedName`. + + .. admonition:: Example + + .. code-block:: VHDL + + use ieee.numeric_std.unsigned; + -- ^^^^^^^^^^^^^^^^^^^^^^^^^ + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.PackageMember)
+ + + @property + def Member(self) -> Nullable['Package']: # TODO: typehint + return self._reference + + @Member.setter + def Member(self, value: 'Package') -> None: # TODO: typehint + self._reference = value
+ + + +
+[docs] +@export +class AllPackageMembersReferenceSymbol(Symbol): + """ + Represents a reference (name) to all package members. + + The internal name will be a :class:`~pyVHDLModel.Name.AllName`. + + .. admonition:: Example + + .. code-block:: VHDL + + use ieee.numeric_std.all; + -- ^^^^^^^^^^^^^^^^^^^^ + """ + +
+[docs] + def __init__(self, name: AllName) -> None: + super().__init__(name, PossibleReference.PackageMember)
+ + + @property + def Members(self) -> 'Package': # TODO: typehint + return self._reference + + @Members.setter + def Members(self, value: 'Package') -> None: # TODO: typehint + self._reference = value
+ + + +
+[docs] +@export +class EntityInstantiationSymbol(Symbol): + """ + Represents a reference (name) to an entity in a direct entity instantiation. + + The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`. + + .. admonition:: Example + + .. code-block:: VHDL + + inst : entity work.Counter; + -- ^^^^^^^^^^^^ + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Entity)
+ + + @property + def Entity(self) -> 'Entity': + return self._reference + + @Entity.setter + def Entity(self, value: 'Entity') -> None: + self._reference = value
+ + + +
+[docs] +@export +class ComponentInstantiationSymbol(Symbol): + """ + Represents a reference (name) to an entity in a component instantiation. + + The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`. + + .. admonition:: Example + + .. code-block:: VHDL + + inst : component Counter; + -- ^^^^^^^ + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Component)
+ + + @property + def Component(self) -> 'Component': + return self._reference + + @Component.setter + def Component(self, value: 'Component') -> None: + self._reference = value
+ + + +
+[docs] +@export +class ConfigurationInstantiationSymbol(Symbol): + """ + Represents a reference (name) to an entity in a configuration instantiation. + + The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`. + + .. admonition:: Example + + .. code-block:: VHDL + + inst : configuration Counter; + -- ^^^^^^^ + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Configuration)
+ + + @property + def Configuration(self) -> 'Configuration': + return self._reference + + @Configuration.setter + def Configuration(self, value: 'Configuration') -> None: + self._reference = value
+ + + +
+[docs] +@export +class EntitySymbol(Symbol): + """ + Represents a reference (name) to an entity in an architecture declaration. + + The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`. + + .. admonition:: Example + + .. code-block:: VHDL + + architecture rtl of Counter is + -- ^^^^^^^ + begin + end architecture; + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Entity)
+ + + @property + def Entity(self) -> 'Entity': + return self._reference + + @Entity.setter + def Entity(self, value: 'Entity') -> None: + self._reference = value
+ + + +
+[docs] +@export +class ArchitectureSymbol(Symbol): + """An entity reference in an entity instantiation with architecture name.""" + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Architecture)
+ + + @property + def Architecture(self) -> 'Architecture': + return self._reference + + @Architecture.setter + def Architecture(self, value: 'Architecture') -> None: + self._reference = value
+ + + +
+[docs] +@export +class PackageSymbol(Symbol): + """ + Represents a reference (name) to a package in a package body declaration. + + The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`. + + .. admonition:: Example + + .. code-block:: VHDL + + package body Utilities is + -- ^^^^^^^^^ + end package body; + """ + +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Package)
+ + + @property + def Package(self) -> 'Package': + return self._reference + + @Package.setter + def Package(self, value: 'Package') -> None: + self._reference = value
+ + + +
+[docs] +@export +class RecordElementSymbol(Symbol): +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.RecordElement)
+
+ + + +
+[docs] +@export +class SubtypeSymbol(Symbol): +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Type | PossibleReference.Subtype)
+ + + @property + def Subtype(self) -> 'Subtype': + return self._reference + + @Subtype.setter + def Subtype(self, value: 'Subtype') -> None: + self._reference = value
+ + + +
+[docs] +@export +class SimpleSubtypeSymbol(SubtypeSymbol): + pass
+ + + +
+[docs] +@export +class ConstrainedScalarSubtypeSymbol(SubtypeSymbol): + pass
+ + + +
+[docs] +@export +class ConstrainedCompositeSubtypeSymbol(SubtypeSymbol): + pass
+ + + +
+[docs] +@export +class ConstrainedArraySubtypeSymbol(ConstrainedCompositeSubtypeSymbol): + pass
+ + + +
+[docs] +@export +class ConstrainedRecordSubtypeSymbol(ConstrainedCompositeSubtypeSymbol): + pass
+ + + +
+[docs] +@export +class SimpleObjectOrFunctionCallSymbol(Symbol): +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.SimpleNameInExpression)
+
+ + + +
+[docs] +@export +class IndexedObjectOrFunctionCallSymbol(Symbol): +
+[docs] + def __init__(self, name: Name) -> None: + super().__init__(name, PossibleReference.Object | PossibleReference.Function)
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_modules/pyVHDLModel/Type.html b/_modules/pyVHDLModel/Type.html new file mode 100644 index 000000000..b76f2122d --- /dev/null +++ b/_modules/pyVHDLModel/Type.html @@ -0,0 +1,670 @@ + + + + + + pyVHDLModel.Type — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +

Source code for pyVHDLModel.Type

+# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Types.
+"""
+from typing                 import Union, List, Iterator, Iterable, Tuple, Optional as Nullable, Dict, Mapping
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+from pyTooling.Graph        import Vertex
+
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin, MultipleNamedEntityMixin, DocumentedEntityMixin, ExpressionUnion, Range
+from pyVHDLModel.Symbol     import Symbol
+from pyVHDLModel.Name       import Name
+from pyVHDLModel.Expression import EnumerationLiteral, PhysicalIntegerLiteral
+
+
+
+[docs] +@export +class BaseType(ModelEntity, NamedEntityMixin, DocumentedEntityMixin): + """``BaseType`` is the base-class of all type entities in this model.""" + + _objectVertex: Vertex + +
+[docs] + def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None: + """ + Initializes underlying ``BaseType``. + + :param identifier: Name of the type. + :param parent: Reference to the logical parent in the model hierarchy. + """ + super().__init__(parent) + NamedEntityMixin.__init__(self, identifier) + DocumentedEntityMixin.__init__(self, documentation) + + _objectVertex = None
+
+ + + +
+[docs] +@export +class Type(BaseType): + pass
+ + + +
+[docs] +@export +class AnonymousType(Type): + pass
+ + + +
+[docs] +@export +class FullType(BaseType): + pass
+ + + +
+[docs] +@export +class Subtype(BaseType): + _type: Symbol + _baseType: BaseType + _range: Range + _resolutionFunction: 'Function' + +
+[docs] + def __init__(self, identifier: str, symbol: Symbol, parent: ModelEntity = None) -> None: + super().__init__(identifier, parent) + + self._type = symbol + self._baseType = None + self._range = None + self._resolutionFunction = None
+ + + @readonly + def Type(self) -> Symbol: + return self._type + + @readonly + def BaseType(self) -> BaseType: + return self._baseType + + @readonly + def Range(self) -> Range: + return self._range + + @readonly + def ResolutionFunction(self) -> 'Function': + return self._resolutionFunction + +
+[docs] + def __str__(self) -> str: + return f"subtype {self._identifier} is {self._baseType}"
+
+ + + +
+[docs] +@export +class ScalarType(FullType): + """A ``ScalarType`` is a base-class for all scalar types."""
+ + + +
+[docs] +@export +class RangedScalarType(ScalarType): + """A ``RangedScalarType`` is a base-class for all scalar types with a range.""" + + _range: Union[Range, Name] + _leftBound: ExpressionUnion + _rightBound: ExpressionUnion + +
+[docs] + def __init__(self, identifier: str, rng: Union[Range, Name], parent: ModelEntity = None) -> None: + super().__init__(identifier, parent) + self._range = rng
+ + + @readonly + def Range(self) -> Union[Range, Name]: + return self._range
+ + + +
+[docs] +@export +class NumericTypeMixin(metaclass=ExtendedType, mixin=True): + """A ``NumericType`` is a mixin class for all numeric types.""" + +
+[docs] + def __init__(self) -> None: + pass
+
+ + + +
+[docs] +@export +class DiscreteTypeMixin(metaclass=ExtendedType, mixin=True): + """A ``DiscreteType`` is a mixin class for all discrete types.""" + +
+[docs] + def __init__(self) -> None: + pass
+
+ + + +
+[docs] +@export +class EnumeratedType(ScalarType, DiscreteTypeMixin): + _literals: List[EnumerationLiteral] + +
+[docs] + def __init__(self, identifier: str, literals: Iterable[EnumerationLiteral], parent: ModelEntity = None) -> None: + super().__init__(identifier, parent) + + self._literals = [] + if literals is not None: + for literal in literals: + self._literals.append(literal) + literal._parent = self
+ + + @readonly + def Literals(self) -> List[EnumerationLiteral]: + return self._literals + +
+[docs] + def __str__(self) -> str: + return f"{self._identifier} is ({', '.join(str(l) for l in self._literals)})"
+
+ + + +
+[docs] +@export +class IntegerType(RangedScalarType, NumericTypeMixin, DiscreteTypeMixin): +
+[docs] + def __init__(self, identifier: str, rng: Union[Range, Name], parent: ModelEntity = None) -> None: + super().__init__(identifier, rng, parent)
+ + +
+[docs] + def __str__(self) -> str: + return f"{self._identifier} is range {self._range}"
+
+ + + +
+[docs] +@export +class RealType(RangedScalarType, NumericTypeMixin): +
+[docs] + def __init__(self, identifier: str, rng: Union[Range, Name], parent: ModelEntity = None) -> None: + super().__init__(identifier, rng, parent)
+ + +
+[docs] + def __str__(self) -> str: + return f"{self._identifier} is range {self._range}"
+
+ + + +
+[docs] +@export +class PhysicalType(RangedScalarType, NumericTypeMixin): + _primaryUnit: str + _secondaryUnits: List[Tuple[str, PhysicalIntegerLiteral]] + +
+[docs] + def __init__( + self, + identifier: str, + rng: Union[Range, Name], + primaryUnit: str, + units: Iterable[Tuple[str, PhysicalIntegerLiteral]], + parent: ModelEntity = None + ) -> None: + super().__init__(identifier, rng, parent) + + self._primaryUnit = primaryUnit + + self._secondaryUnits = [] # TODO: convert to dict + for unit in units: + self._secondaryUnits.append(unit) + unit[1]._parent = self
+ + + @readonly + def PrimaryUnit(self) -> str: + return self._primaryUnit + + @property + def SecondaryUnits(self) -> List[Tuple[str, PhysicalIntegerLiteral]]: + return self._secondaryUnits + +
+[docs] + def __str__(self) -> str: + return f"{self._identifier} is range {self._range} units {self._primaryUnit}; {'; '.join(su + ' = ' + str(pu) for su, pu in self._secondaryUnits)};"
+
+ + + +
+[docs] +@export +class CompositeType(FullType): + """A ``CompositeType`` is a base-class for all composite types."""
+ + + +
+[docs] +@export +class ArrayType(CompositeType): + _dimensions: List[Range] + _elementType: Symbol + +
+[docs] + def __init__( + self, + identifier: str, + indices: Iterable, + elementSubtype: Symbol, + parent: ModelEntity = None + ) -> None: + super().__init__(identifier, parent) + + self._dimensions = [] + for index in indices: + self._dimensions.append(index) + # index._parent = self # FIXME: indices are provided as empty list + + self._elementType = elementSubtype
+ + # elementSubtype._parent = self # FIXME: subtype is provided as None + + @property + def Dimensions(self) -> List[Range]: + return self._dimensions + + @property + def ElementType(self) -> Symbol: + return self._elementType + +
+[docs] + def __str__(self) -> str: + return f"{self._identifier} is array({'; '.join(str(r) for r in self._dimensions)}) of {self._elementType}"
+
+ + + +
+[docs] +@export +class RecordTypeElement(ModelEntity, MultipleNamedEntityMixin): + _subtype: Symbol + +
+[docs] + def __init__(self, identifiers: Iterable[str], subtype: Symbol, parent: ModelEntity = None) -> None: + super().__init__(parent) + MultipleNamedEntityMixin.__init__(self, identifiers) + + self._subtype = subtype + subtype._parent = self
+ + + @property + def Subtype(self) -> Symbol: + return self._subtype + +
+[docs] + def __str__(self) -> str: + return f"{', '.join(self._identifiers)} : {self._subtype}"
+
+ + + +
+[docs] +@export +class RecordType(CompositeType): + _elements: List[RecordTypeElement] + +
+[docs] + def __init__(self, identifier: str, elements: Nullable[Iterable[RecordTypeElement]] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, parent) + + self._elements = [] # TODO: convert to dict + if elements is not None: + for element in elements: + self._elements.append(element) + element._parent = self
+ + + @property + def Elements(self) -> List[RecordTypeElement]: + return self._elements + +
+[docs] + def __str__(self) -> str: + return f"{self._identifier} is record {'; '.join(str(re) for re in self._elements)};"
+
+ + + +
+[docs] +@export +class ProtectedType(FullType): + _methods: List[Union['Procedure', 'Function']] + +
+[docs] + def __init__(self, identifier: str, methods: Union[List, Iterator] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, parent) + + self._methods = [] + if methods is not None: + for method in methods: + self._methods.append(method) + method._parent = self
+ + + @property + def Methods(self) -> List[Union['Procedure', 'Function']]: + return self._methods
+ + + +
+[docs] +@export +class ProtectedTypeBody(FullType): + _methods: List[Union['Procedure', 'Function']] + +
+[docs] + def __init__(self, identifier: str, declaredItems: Union[List, Iterator] = None, parent: ModelEntity = None) -> None: + super().__init__(identifier, parent) + + self._methods = [] + if declaredItems is not None: + for method in declaredItems: + self._methods.append(method) + method._parent = self
+ + + # FIXME: needs to be declared items or so + @property + def Methods(self) -> List[Union['Procedure', 'Function']]: + return self._methods
+ + + +
+[docs] +@export +class AccessType(FullType): + _designatedSubtype: Symbol + +
+[docs] + def __init__(self, identifier: str, designatedSubtype: Symbol, parent: ModelEntity = None) -> None: + super().__init__(identifier, parent) + + self._designatedSubtype = designatedSubtype + designatedSubtype._parent = self
+ + + @property + def DesignatedSubtype(self): + return self._designatedSubtype + +
+[docs] + def __str__(self) -> str: + return f"{self._identifier} is access {self._designatedSubtype}"
+
+ + + +
+[docs] +@export +class FileType(FullType): + _designatedSubtype: Symbol + +
+[docs] + def __init__(self, identifier: str, designatedSubtype: Symbol, parent: ModelEntity = None) -> None: + super().__init__(identifier, parent) + + self._designatedSubtype = designatedSubtype + designatedSubtype._parent = self
+ + + @property + def DesignatedSubtype(self): + return self._designatedSubtype + +
+[docs] + def __str__(self) -> str: + return f"{self._identifier} is access {self._designatedSubtype}"
+
+ +
+ +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/_report_static/sphinx-reports.e44b1595f1e09d5e109d001839ba3f42.css b/_report_static/sphinx-reports.e44b1595f1e09d5e109d001839ba3f42.css new file mode 100644 index 000000000..02468d35c --- /dev/null +++ b/_report_static/sphinx-reports.e44b1595f1e09d5e109d001839ba3f42.css @@ -0,0 +1,48 @@ +/* + * Disable odd/even coloring for docutils tables if it's a coverage table. + * Otherwise, the 'nth-child' rule will always override row colors indicating the coverage level. + */ +.rst-content table.docutils:not(.field-list) tr:nth-child(2n-1) td { + background-color: unset; +} + +/* + * Coloring for 0..30, 30..50, 50..80, 80..90, 90.100% coverage + */ +/* very good */ +.report-cov-below100 { + background: rgba(0, 200, 82, .4); +} +/* good */ +.report-cov-below90 { + background: rgba(0, 200, 82, .2); +} +/* modest */ +.report-cov-below80 { + background: rgba(255, 145, 0, .2); +} +/* bad */ +.report-cov-below50 { + background: rgba(255, 82, 82, .2); +} +/* very bad */ +.report-cov-below30 { + background: rgba(101, 31, 255, .2); +} +/* internal error */ +.report-cov-error{ + background: rgba(255, 0, 0, .4); +} + +.report-dep-summary-row { + font-weight: bold; +} +.report-codecov-summary-row { + font-weight: bold; +} +.report-doccov-summary-row { + font-weight: bold; +} +.report-unittest-summary-row { + font-weight: bold; +} diff --git a/_sources/Analyze/index.rst.txt b/_sources/Analyze/index.rst.txt new file mode 100644 index 000000000..1ee2a845f --- /dev/null +++ b/_sources/Analyze/index.rst.txt @@ -0,0 +1,103 @@ +.. _analyze: + +Analyze +####### + +1. Dependency analysis + +Dependency Analysis +******************* + +1. Create Dependency Graph +========================== + +Create unconnected vertices in the design's dependency graph for every VHDL library object and every design unit. + +The vertex's ``ID`` field is set to a unique identifying string. |br| +The following patterns are used: + +Libraries + The normalized library name: ``library``. +Contexts + The normalized library and context name: ``library.context``. +Entities + The normalized library and entity name: ``library.entity``. +Architectures + The normalized library, entity and architecture name in parenthesis: ``library.entity(architecture)``. +Packages + The normalized library and package name: ``library.package``. +Package Bodies + The normalized library and package name: ``library.package(body)``. + +The vertex's ``Value`` field references to the library or design unit object respectively. + +Each vertex has two attributes: + +``"kind"`` + A kind attribute is set to an enumeration value of :py:class:`~pyVHDLModel.DependencyGraphVertexKind` representing + vertex kind (type). +``"predefined"`` + A predefined attribute is set to ``True``, if the library or design unit is a VHDL predefined language entity from + e.g. from ``std`` or ``ieee``. + +Lastly, every vertex is assigned to a :py:attr:``~pyVHDLModel.DesignUnit.DesignUnit._dependencyVertex`` field. Thus, +there is a double reference from graph's vertex via ``Value`` to the DOM object as well as in reverse via +``_dependencyVertex`` to the representing vertex. + +.. code-block:: vhdl + + predefinedLibraries = ("std", "ieee") + + for libraryIdentifier, library in self._libraries.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}", value=library, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Library + dependencyVertex["predefined"] = libraryIdentifier in predefinedLibraries + library._dependencyVertex = dependencyVertex + + for contextIdentifier, context in library._contexts.items(): + dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{contextIdentifier}", value=context, graph=self._dependencyGraph) + dependencyVertex["kind"] = DependencyGraphVertexKind.Context + dependencyVertex["predefined"] = context._library._normalizedIdentifier in predefinedLibraries + context._dependencyVertex = dependencyVertex + + +2. Create Compile Order Graph +============================= + +3. Index Packages +================= + +4. Index Architectures +====================== + +5. Link Contexts +================ + +6. Link Architectures +===================== + +7. Link Package Bodies +====================== + +8. Link Library References +========================== + +9. Link Package References +========================== + +10. Link Context References +=========================== + +11. Link Components +=================== + +12. Link Instantiations +======================= + +13. Create Hierarchy Graph +========================== + +14. Compute Compile Order +========================= + + diff --git a/_sources/ChangeLog/index.rst.txt b/_sources/ChangeLog/index.rst.txt new file mode 100644 index 000000000..f4a65d0af --- /dev/null +++ b/_sources/ChangeLog/index.rst.txt @@ -0,0 +1,12 @@ +ChangeLog +######### + +Upcoming Release +================ + +* tbd + +26.12.2020 +========== + +`pyVHDLModel` was split from `pyVHDLParser` (v0.6.0) as an independent Python package. diff --git a/_sources/DataStructure/CompileOrderGraph.rst.txt b/_sources/DataStructure/CompileOrderGraph.rst.txt new file mode 100644 index 000000000..42adda4e0 --- /dev/null +++ b/_sources/DataStructure/CompileOrderGraph.rst.txt @@ -0,0 +1,4 @@ +.. _datastruct:compileordergraph: + +Compile Order Graph +################### diff --git a/_sources/DataStructure/DependencyGraph.rst.txt b/_sources/DataStructure/DependencyGraph.rst.txt new file mode 100644 index 000000000..46b58426d --- /dev/null +++ b/_sources/DataStructure/DependencyGraph.rst.txt @@ -0,0 +1,4 @@ +.. _datastruct:dependencygraph: + +Dependency Graph +################ diff --git a/_sources/DataStructure/HierarchyGraph.rst.txt b/_sources/DataStructure/HierarchyGraph.rst.txt new file mode 100644 index 000000000..002c0d726 --- /dev/null +++ b/_sources/DataStructure/HierarchyGraph.rst.txt @@ -0,0 +1,4 @@ +.. _datastruct:hierarchygraph: + +Hierarchy Graph +############### diff --git a/_sources/DataStructure/index.rst.txt b/_sources/DataStructure/index.rst.txt new file mode 100644 index 000000000..fc5d67b3f --- /dev/null +++ b/_sources/DataStructure/index.rst.txt @@ -0,0 +1,70 @@ +.. _datastruct: + +Data Structures +############### + +Besides the document object model as a tree-like structure, pyVHDLModel has either lists, lookup dictionaries, direct +cross-references or dedicated data structure (tree, graph, …) for connecting multiple objects together. + +Graphs +****** + +pyVHDLModel uses the graph implementation from :pyTool:mod:`pyTooling.Graph` as it provides an object oriented programming +interface to vertices and edges. + +Dependency Graph +================ + +The dependency graph describes dependencies between: + +* Sourcecode files +* VHDL libraries +* Contexts +* Packages +* Entities +* Architectures +* Packages +* Package Bodies +* Configurations + +The relation can be: + +* Defined in source file +* references +* implements +* instantiates +* needs to be analyzed before + + +Hierarchy Graph +=============== + +The hierarchy graph can be derived from dependency graph by: + +1. copying all entity and architecture vertices +2. copying all implements dependency edges +3. copying all instantiates edges in reverse direction + +The graph can then be scanned for a root vertices (no inbound edges). If only a single root vertex exists, this vertex +references the toplevel of the design. + + +Compile Order Graph +=================== + +The compile order can be derived from dependency graph by: + +1. copying all document vertices +2. iterating all edges in the dependency graph. + 1. resolve the source and the destination to the referenced design units + 2. resolved further to the documents these design units are declared in + 3. resolve further which vertices correspond in the compile order graph + 4. if edges does not yet exist, add an edge between two documents in the compile order graph + + +.. toctree:: + :hidden: + + DependencyGraph + HierarchyGraph + CompileOrderGraph diff --git a/_sources/Dependency.rst.txt b/_sources/Dependency.rst.txt new file mode 100644 index 000000000..9e1b4c0b1 --- /dev/null +++ b/_sources/Dependency.rst.txt @@ -0,0 +1,166 @@ +.. _dependency: + +Dependency +########## + +.. |img-pyVHDLModel-lib-status| image:: https://img.shields.io/librariesio/release/pypi/pyVHDLModel + :alt: Libraries.io status for latest release + :height: 22 + :target: https://libraries.io/github/VHDL/pyVHDLModel + ++------------------------------------------+------------------------------------------+ +| `Libraries.io `_ | Requires.io | ++==========================================+==========================================+ +| |img-pyVHDLModel-lib-status| | Service was shutdown | ++------------------------------------------+------------------------------------------+ + + +.. _dependency-package: + +pyVHDLModel Package +******************* + ++--------------------------------------------------------+-------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+ +| **Package** | **Version** | **License** | **Dependencies** | ++========================================================+=============+==========================================================================================+=================================================================================================================================+ +| `pyTooling `__ | ≥6.6 | `Apache License, 2.0 `__ | *None* | ++--------------------------------------------------------+-------------+------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+ + + +.. _dependency-testing: + +Unit Testing / Coverage / Type Checking (Optional) +************************************************** + +Additional Python packages needed for testing, code coverage collection and static type checking. These packages are +only needed for developers or on a CI server, thus sub-dependencies are not evaluated further. + + +.. rubric:: Manually Installing Test Requirements + +Use the :file:`tests/requirements.txt` file to install all dependencies via ``pip3``. The file will recursively install +the mandatory dependencies too. + +.. code-block:: shell + + pip3 install -U -r tests/requirements.txt + + +.. rubric:: Dependency List + ++---------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+ +| **Package** | **Version** | **License** | **Dependencies** | ++=====================================================================+=============+========================================================================================+======================+ +| `pytest `__ | ≥8.3 | `MIT `__ | *Not yet evaluated.* | ++---------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+ +| `pytest-cov `__ | ≥5.0 | `MIT `__ | *Not yet evaluated.* | ++---------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+ +| `Coverage `__ | ≥7.6 | `Apache License, 2.0 `__ | *Not yet evaluated.* | ++---------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+ +| `mypy `__ | ≥1.11 | `MIT `__ | *Not yet evaluated.* | ++---------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+ +| `typing-extensions `__ | ≥4.12 | `PSF-2.0 `__ | *Not yet evaluated.* | ++---------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+ +| `lxml `__ | ≥5.3 | `BSD 3-Clause `__ | *Not yet evaluated.* | ++---------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+ + + +.. _dependency-documentation: + +Sphinx Documentation (Optional) +******************************* + +Additional Python packages needed for documentation generation. These packages are only needed for developers or on a +CI server, thus sub-dependencies are not evaluated further. + + +.. rubric:: Manually Installing Documentation Requirements + +Use the :file:`doc/requirements.txt` file to install all dependencies via ``pip3``. The file will recursively install +the mandatory dependencies too. + +.. code-block:: shell + + pip3 install -U -r doc/requirements.txt + + +.. rubric:: Dependency List + ++-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+----------------------+ +| **Package** | **Version** | **License** | **Dependencies** | ++=================================================================================================+==============+==========================================================================================================+======================+ +| `pyTooling `__ | ≥6.6 | `Apache License, 2.0 `__ | *None* | ++-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+----------------------+ +| `Sphinx `__ | ≥7.4 | `BSD 3-Clause `__ | *Not yet evaluated.* | ++-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+----------------------+ +| `sphinxcontrib-mermaid `__ | ≥0.9.2 | `BSD `__ | *Not yet evaluated.* | ++-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+----------------------+ +| `autoapi `__ | ≥2.0.1 | `Apache License, 2.0 `__ | *Not yet evaluated.* | ++-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+----------------------+ +| `sphinx_btd_theme `__ | | `MIT `__ | *Not yet evaluated.* | ++-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+----------------------+ +| !! `sphinx_fontawesome `__ | ≥0.0.6 | `GPL 2.0 `__ | *Not yet evaluated.* | ++-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+----------------------+ +| `sphinx_autodoc_typehints `__ | ≥2.3 | `MIT `__ | *Not yet evaluated.* | ++-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+----------------------+ + + +.. _dependency-packaging: + +Packaging (Optional) +******************** + +Additional Python packages needed for installation package generation. These packages are only needed for developers or +on a CI server, thus sub-dependencies are not evaluated further. + + +.. rubric:: Manually Installing Packaging Requirements + +Use the :file:`build/requirements.txt` file to install all dependencies via ``pip3``. The file will recursively +install the mandatory dependencies too. + +.. code-block:: shell + + pip3 install -U -r build/requirements.txt + + +.. rubric:: Dependency List + ++----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+ +| **Package** | **Version** | **License** | **Dependencies** | ++============================================================================+==============+==========================================================================================================+======================================================================================================================================================+ +| `pyTooling `__ | ≥6.6 | `Apache License, 2.0 `__ | *None* | ++----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+ +| `wheel `__ | ≥0.44 | `MIT `__ | *Not yet evaluated.* | ++----------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+ + + +.. _dependency-publishing: + +Publishing (CI-Server only) +*************************** + +Additional Python packages needed for publishing the generated installation package to e.g, PyPI or any equivalent +services. These packages are only needed for maintainers or on a CI server, thus sub-dependencies are not evaluated +further. + + +.. rubric:: Manually Installing Publishing Requirements + +Use the :file:`dist/requirements.txt` file to install all dependencies via ``pip3``. The file will recursively +install the mandatory dependencies too. + +.. code-block:: shell + + pip3 install -U -r dist/requirements.txt + + +.. rubric:: Dependency List + ++----------------------------------------------------------+--------------+-------------------------------------------------------------------------------------------+----------------------+ +| **Package** | **Version** | **License** | **Dependencies** | ++==========================================================+==============+===========================================================================================+======================+ +| `wheel `__ | ≥0.44 | `MIT `__ | *Not yet evaluated.* | ++----------------------------------------------------------+--------------+-------------------------------------------------------------------------------------------+----------------------+ +| `Twine `__ | ≥5.1 | `Apache License, 2.0 `__ | *Not yet evaluated.* | ++----------------------------------------------------------+--------------+-------------------------------------------------------------------------------------------+----------------------+ diff --git a/_sources/Doc-License.rst.txt b/_sources/Doc-License.rst.txt new file mode 100644 index 000000000..1258fbc2c --- /dev/null +++ b/_sources/Doc-License.rst.txt @@ -0,0 +1,353 @@ +.. _DOCLICENSE: + +.. Note:: This is a local copy of the `Creative Commons - Attribution 4.0 International (CC BY 4.0) `__. + +.. Attention:: This **CC BY 4.0** license applies only to the **documentation** of this project. + + +Creative Commons Attribution 4.0 International +############################################## + +Creative Commons Corporation (“Creative Commons”) is not a law firm and does not +provide legal services or legal advice. Distribution of Creative Commons public +licenses does not create a lawyer-client or other relationship. Creative Commons +makes its licenses and related information available on an “as-is” basis. +Creative Commons gives no warranties regarding its licenses, any material +licensed under their terms and conditions, or any related information. Creative +Commons disclaims all liability for damages resulting from their use to the +fullest extent possible. + +.. topic:: Using Creative Commons Public Licenses + + Creative Commons public licenses provide a standard set of terms and conditions + that creators and other rights holders may use to share original works of + authorship and other material subject to copyright and certain other rights + specified in the public license below. The following considerations are for + informational purposes only, are not exhaustive, and do not form part of our + licenses. + + * **Considerations for licensors:** Our public licenses are intended for use + by those authorized to give the public permission to use material in ways + otherwise restricted by copyright and certain other rights. Our licenses are + irrevocable. Licensors should read and understand the terms and conditions + of the license they choose before applying it. Licensors should also secure + all rights necessary before applying our licenses so that the public can reuse + the material as expected. Licensors should clearly mark any material not + subject to the license. This includes other CC-licensed material, or material + used under an exception or limitation to copyright. + `More considerations for licensors `__. + + * **Considerations for the public:** By using one of our public licenses, a + licensor grants the public permission to use the licensed material under + specified terms and conditions. If the licensor’s permission is not necessary + for any reason–for example, because of any applicable exception or limitation + to copyright–then that use is not regulated by the license. Our licenses grant + only permissions under copyright and certain other rights that a licensor has + authority to grant. Use of the licensed material may still be restricted for + other reasons, including because others have copyright or other rights in the + material. A licensor may make special requests, such as asking that all + changes be marked or described. Although not required by our licenses, you are + encouraged to respect those requests where reasonable. + `More considerations for the public `__. + +:xlarge:`Creative Commons Attribution 4.0 International Public License` + +By exercising the Licensed Rights (defined below), You accept and agree to be +bound by the terms and conditions of this Creative Commons Attribution 4.0 +International Public License ("Public License"). To the extent this Public +License may be interpreted as a contract, You are granted the Licensed Rights +in consideration of Your acceptance of these terms and conditions, and the +Licensor grants You such rights in consideration of benefits the Licensor +receives from making the Licensed Material available under these terms and +conditions. + +Section 1 – Definitions. +======================== + +a. **Adapted Material** means material subject to Copyright and Similar + Rights that is derived from or based upon the Licensed Material and in + which the Licensed Material is translated, altered, arranged, transformed, or + otherwise modified in a manner requiring permission under the Copyright and + Similar Rights held by the Licensor. For purposes of this Public License, + where the Licensed Material is a musical work, performance, or sound + recording, Adapted Material is always produced where the Licensed Material + is synched in timed relation with a moving image. + +b. **Adapter's License** means the license You apply to Your Copyright and + Similar Rights in Your contributions to Adapted Material in accordance with + the terms and conditions of this Public License. + +c. **Copyright and Similar Rights** means copyright and/or similar rights + closely related to copyright including, without limitation, performance, + broadcast, sound recording, and Sui Generis Database Rights, without regard + to how the rights are labeled or categorized. For purposes of this Public + License, the rights specified in Section 2(b)(1)-(2) are not Copyright and + Similar Rights. + +d. **Effective Technological Measures** means those measures that, in the + absence of proper authority, may not be circumvented under laws fulfilling + obligations under Article 11 of the WIPO Copyright Treaty adopted on + December 20, 1996, and/or similar international agreements. + +e. **Exceptions and Limitations** means fair use, fair dealing, and/or any + other exception or limitation to Copyright and Similar Rights that applies to + Your use of the Licensed Material. + +f. **Licensed Material** means the artistic or literary work, database, or + other material to which the Licensor applied this Public License. + +g. **Licensed Rights** means the rights granted to You subject to the terms + and conditions of this Public License, which are limited to all Copyright and + Similar Rights that apply to Your use of the Licensed Material and that the + Licensor has authority to license. + +h. **Licensor** means the individual(s) or entity(ies) granting rights under + this Public License. + +i. **Share** means to provide material to the public by any means or process + that requires permission under the Licensed Rights, such as reproduction, + public display, public performance, distribution, dissemination, + communication, or importation, and to make material available to the public + including in ways that members of the public may access the material from a + place and at a time individually chosen by them. + +j. **Sui Generis Database Rights** means rights other than copyright + resulting from Directive 96/9/EC of the European Parliament and of the + Council of 11 March 1996 on the legal protection of databases, as amended + and/or succeeded, as well as other essentially equivalent rights anywhere + in the world. + +k. **You** means the individual or entity exercising the Licensed Rights + under this Public License. **Your** has a corresponding meaning. + +Section 2 – Scope. +================== + +a. **License grant.** + + 1. Subject to the terms and conditions of this Public License, the Licensor + hereby grants You a worldwide, royalty-free, non-sublicensable, + non-exclusive, irrevocable license to exercise the Licensed Rights in the + Licensed Material to: + + A. reproduce and Share the Licensed Material, in whole or in part; and + + B. produce, reproduce, and Share Adapted Material. + + 2. :underline:`Exceptions and Limitations.` For the avoidance of doubt, where + Exceptions and Limitations apply to Your use, this Public License does not + apply, and You do not need to comply with its terms and conditions. + + 3. :underline:`Term.` The term of this Public License is specified in Section 6(a). + + 4. :underline:`Media and formats`; :underline:`technical modifications allowed.` The Licensor + authorizes You to exercise the Licensed Rights in all media and formats + whether now known or hereafter created, and to make technical + modifications necessary to do so. The Licensor waives and/or agrees not to + assert any right or authority to forbid You from making technical + modifications necessary to exercise the Licensed Rights, including + technical modifications necessary to circumvent Effective Technological + Measures. For purposes of this Public License, simply making modifications + authorized by this Section 2(a)(4) never produces Adapted Material. + + 5. :underline:`Downstream recipients.` + + A. :underline:`Offer from the Licensor – Licensed Material.` Every recipient of + the Licensed Material automatically receives an offer from the + Licensor to exercise the Licensed Rights under the terms and + conditions of this Public License. + + B. :underline:`No downstream restrictions.` You may not offer or impose any + additional or different terms or conditions on, or apply any Effective + Technological Measures to, the Licensed Material if doing so restricts + exercise of the Licensed Rights by any recipient of the Licensed + Material. + + 6. :underline:`No endorsement.` Nothing in this Public License constitutes or may + be construed as permission to assert or imply that You are, or that Your + use of the Licensed Material is, connected with, or sponsored, endorsed, + or granted official status by, the Licensor or others designated to + receive attribution as provided in Section 3(a)(1)(A)(i). + +b. **Other rights.** + + 1. Moral rights, such as the right of integrity, are not licensed under this + Public License, nor are publicity, privacy, and/or other similar + personality rights; however, to the extent possible, the Licensor waives + and/or agrees not to assert any such rights held by the Licensor to the + limited extent necessary to allow You to exercise the Licensed Rights, but + not otherwise. + + 2. Patent and trademark rights are not licensed under this Public License. + + 3. To the extent possible, the Licensor waives any right to collect royalties + from You for the exercise of the Licensed Rights, whether directly or + through a collecting society under any voluntary or waivable statutory or + compulsory licensing scheme. In all other cases the Licensor expressly + reserves any right to collect such royalties. + +Section 3 – License Conditions. +=============================== + +Your exercise of the Licensed Rights is expressly made subject to the following conditions. + +a. **Attribution.** + + 1. If You Share the Licensed Material (including in modified form), You must: + + A. retain the following if it is supplied by the Licensor with the + Licensed Material: + + i. identification of the creator(s) of the Licensed Material and any + others designated to receive attribution, in any reasonable manner + requested by the Licensor (including by pseudonym if designated); + + ii. a copyright notice; + + iii. a notice that refers to this Public License; + + iv. a notice that refers to the disclaimer of warranties; + + v. a URI or hyperlink to the Licensed Material to the extent reasonably + practicable; + + B. indicate if You modified the Licensed Material and retain an + indication of any previous modifications; and + + C. indicate the Licensed Material is licensed under this Public License, + and include the text of, or the URI or hyperlink to, this Public + License. + + 2. You may satisfy the conditions in Section 3(a)(1) in any reasonable manner + based on the medium, means, and context in which You Share the Licensed + Material. For example, it may be reasonable to satisfy the conditions by + providing a URI or hyperlink to a resource that includes the required + information. + + 3. If requested by the Licensor, You must remove any of the information + required by Section 3(a)(1)(A) to the extent reasonably practicable. + + 4. If You Share Adapted Material You produce, the Adapter's License You apply + must not prevent recipients of the Adapted Material from complying with + this Public License. + +Section 4 – Sui Generis Database Rights. +======================================== + +Where the Licensed Rights include Sui Generis Database Rights that apply to Your +use of the Licensed Material: + +a. for the avoidance of doubt, Section 2(a)(1) grants You the right to extract, + reuse, reproduce, and Share all or a substantial portion of the contents of + the database; + +b. if You include all or a substantial portion of the database contents in a + database in which You have Sui Generis Database Rights, then the database + in which You have Sui Generis Database Rights (but not its individual + contents) is Adapted Material; and + +c. You must comply with the conditions in Section 3(a) if You Share all or a + substantial portion of the contents of the database. + +For the avoidance of doubt, this Section 4 supplements and does not replace +Your obligations under this Public License where the Licensed Rights include +other Copyright and Similar Rights. + +Section 5 – Disclaimer of Warranties and Limitation of Liability. +================================================================= + +a. **Unless otherwise separately undertaken by the Licensor, to the extent + possible, the Licensor offers the Licensed Material as-is and as-available, + and makes no representations or warranties of any kind concerning the + Licensed Material, whether express, implied, statutory, or other. This + includes, without limitation, warranties of title, merchantability, + fitness for a particular purpose, non-infringement, absence of latent or + other defects, accuracy, or the presence or absence of errors, whether or + not known or discoverable. Where disclaimers of warranties are not allowed + in full or in part, this disclaimer may not apply to You.** + +b. **To the extent possible, in no event will the Licensor be liable to You + on any legal theory (including, without limitation, negligence) or + otherwise for any direct, special, indirect, incidental, consequential, + punitive, exemplary, or other losses, costs, expenses, or damages arising + out of this Public License or use of the Licensed Material, even if the + Licensor has been advised of the possibility of such losses, costs, expenses, + or damages. Where a limitation of liability is not allowed in full or in + part, this limitation may not apply to You.** + +c. The disclaimer of warranties and limitation of liability provided above + shall be interpreted in a manner that, to the extent possible, most + closely approximates an absolute disclaimer and waiver of all liability. + +Section 6 – Term and Termination. +================================= + +a. This Public License applies for the term of the Copyright and Similar Rights + licensed here. However, if You fail to comply with this Public License, then + Your rights under this Public License terminate automatically. + +b. Where Your right to use the Licensed Material has terminated under + Section 6(a), it reinstates: + + 1. automatically as of the date the violation is cured, provided it is cured + within 30 days of Your discovery of the violation; or + + 2. upon express reinstatement by the Licensor. + + For the avoidance of doubt, this Section 6(b) does not affect any right the + Licensor may have to seek remedies for Your violations of this Public License. + +c. For the avoidance of doubt, the Licensor may also offer the Licensed Material + under separate terms or conditions or stop distributing the Licensed Material + at any time; however, doing so will not terminate this Public License. + +d. Sections 1, 5, 6, 7, and 8 survive termination of this Public License. + +Section 7 – Other Terms and Conditions. +======================================= + +a. The Licensor shall not be bound by any additional or different terms or + conditions communicated by You unless expressly agreed. + +b. Any arrangements, understandings, or agreements regarding the Licensed + Material not stated herein are separate from and independent of the terms + and conditions of this Public License. + +Section 8 – Interpretation. +=========================== + +a. For the avoidance of doubt, this Public License does not, and shall not be + interpreted to, reduce, limit, restrict, or impose conditions on any use of + the Licensed Material that could lawfully be made without permission under + this Public License. + +b. To the extent possible, if any provision of this Public License is deemed + unenforceable, it shall be automatically reformed to the minimum extent + necessary to make it enforceable. If the provision cannot be reformed, it + shall be severed from this Public License without affecting the + enforceability of the remaining terms and conditions. + +c. No term or condition of this Public License will be waived and no failure to + comply consented to unless expressly agreed to by the Licensor. + +d. Nothing in this Public License constitutes or may be interpreted as a + limitation upon, or waiver of, any privileges and immunities that apply to + the Licensor or You, including from the legal processes of any jurisdiction + or authority. + +------------------ + +Creative Commons is not a party to its public licenses. Notwithstanding, +Creative Commons may elect to apply one of its public licenses to material it +publishes and in those instances will be considered the “Licensor.” Except for +the limited purpose of indicating that material is shared under a Creative +Commons public license or as otherwise permitted by the Creative Commons +policies published at `creativecommons.org/policies `__, +Creative Commons does not authorize the use of the trademark “Creative Commons” +or any other trademark or logo of Creative Commons without its prior written +consent including, without limitation, in connection with any unauthorized +modifications to any of its public licenses or any other arrangements, +understandings, or agreements concerning use of licensed material. For the +avoidance of doubt, this paragraph does not form part of the public licenses. + +Creative Commons may be contacted at `creativecommons.org `__ diff --git a/_sources/DocCoverage.rst.txt b/_sources/DocCoverage.rst.txt new file mode 100644 index 000000000..c1e75266a --- /dev/null +++ b/_sources/DocCoverage.rst.txt @@ -0,0 +1,7 @@ +Documentation Coverage +###################### + +Documentation coverage generated by `docstr-coverage `__. + +.. report:doc-coverage:: + :packageid: src diff --git a/_sources/GettingStarted.rst.txt b/_sources/GettingStarted.rst.txt new file mode 100644 index 000000000..ab14c1ade --- /dev/null +++ b/_sources/GettingStarted.rst.txt @@ -0,0 +1,261 @@ +.. _GettingStarted: + +Getting Started +############### + +*pyVHDLModel* is a VHDL language model without any parser. There are currently two parsers available that can serve as a +frontend to pyVHDLModel. These parsers can generate a VHDL language model instance from VHDL source files: + +* pyVHDLParser (currently broken) +* GHDL + + +pyVHDLParser +************ + +The pyVHDLParser is a token-stream based parser creating a code document object model (CodeDOM) derived from +pyVHDLModel. Actually, pyVHDlModel was originally part of that parser, until it got refactored into this standalone +package so multiple frontends (parsers) and backends (analysis tools) can use this VHDL language model as a common API. + +.. warning:: Currently, pyVHDLParser is not aligned with latest updates in pyVHDLModel. + + +GHDL as Parser +************** + +The free and open-source VHDL-2008 simulator **GHDL** offers a Python binding, so Python code can access ``libghdl``. +This binding layer is exposed in the ``pyGHDL.libghdl`` package. In addition, GHDL offers a ``pyGHDL.dom`` package +implementing derived classes of pyVHDLModel. Each derived class adds translation methods (``.parse(iirNode)``) from +GHDL's internal data structure IIR to the code document object model (CodeDOM) of pyVHDLModel. + + +Installation and Setup +====================== + +To use pyVHDLModel a tool offering a parser like GHDL is required. GHDL itself offers multiple options for installation. +In addition it has multiple backends. For the usage with pyVHDLModel, an ``mcode`` backend is preferred, as it's faster +and doesn't write ``*.o`` files to the disk. As most Python installation are nowadays 64-bit, an ``mcode 64-bit`` +variant of GHDL would be best. + +On Windows - Native +""""""""""""""""""" + +Assuming a 64-bit Windows installation and a 64-bit CPython (`python.org `__) +installation, it's suggested to install: + +* `GHDL 3.0.0-dev - MinGW64 - mcode - standalone `__ +* `GHDL 3.0.0-dev - UCRT64 - mcode - standalone `__ + +As development of Python packages ``pyGHDL.dom`` and ``pyVHDLModel`` are under quick development cycles, a GHDL +``nightly`` build is suggested compared to the stable releases (once a year). These nightly builds are provided as ZIP +files on GitHub: https://github.com/ghdl/ghdl/releases/tag/nightly (or use links from above). + +At next, unpack the ZIP files content to e.g. :file:`C:\\Tools\\GHDL\\3.0.0-dev` (GHDL installation directory). This ZIP +file brings the GHDL synthesis and simulation tool as well as :file:`libghdl-3_0_0_dev.dll` needed as a parser frontend. + + +On Windows - MSYS2 +"""""""""""""""""" + +Assuming a 64-bit Windows installation and an `MSYS2 `__ installation in :file:`C:\msys64`. + + +.. rubric:: MSYS2 Prepartions and GHDL/libghdl Installation + +Start either the MinGW64 or UCRT64 environment and then use :command:`pacman` to install GHDL. The following steps are +explained for UCRT64, but can be applied to MinGW64 similarly. + +.. admonition:: Bash + + .. code-block:: bash + + # Update MSYS2 to latest package releases + pacman -Suyy + + # If the core system was updated, a second run might be required. + pacman -Suyy + + # Search for available GHDL packages + pacman -Ss ghdl + # mingw32/mingw-w64-i686-ghdl-mcode 2.0.0.r870.g1cc85c578-1 (mingw-w64-i686-eda) [Installiert] + # GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (mcode backend) (mingw-w64) + # mingw64/mingw-w64-x86_64-ghdl-llvm 2.0.0.r870.g1cc85c578-1 (mingw-w64-x86_64-eda) [Installiert] + # GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (LLVM backend) (mingw-w64) + # ucrt64/mingw-w64-ucrt-x86_64-ghdl-llvm 2.0.0.r870.g1cc85c578-1 (mingw-w64-ucrt-x86_64-eda) [Installiert] + # GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (LLVM backend) (mingw-w64) + + # Note: The GHDL version is 870 commits after 2.0.0 release and has Git hash "1cc85c578" (without prefix 'g') + + # Install GHDL for UCRT64 + pacman -S ucrt64/mingw-w64-ucrt-x86_64-ghdl-llvm + +.. rubric:: Installing pyGHDL + +At next, pyGHDL matching the currently installed GHDL version must be installed. At best, pyGHDL matches the exact Git +hash of GHDL, so there is no discrepancy between the libghdl binary and the DLL binding layer in ``pyGHDL.libghdl``. + +Assuming *Git for Windows* is installed and available in PowerShell, the following command will install pyGHDL via PIP: + +.. admonition:: PowerShell + + .. code-block:: powershell + + # Install pyGHDL + pip install git+https://github.com/ghdl/ghdl.git@$(ghdl version hash). + + +On Windows from Sources +""""""""""""""""""""""" + +Assuming a 64-bit Windows installation, a 64-bit CPython (`python.org `__) +installation as well as an `MSYS2 `__ installation in :file:`C:\msys64`. + +.. rubric:: MSYS2 Prepartions + +Start either the MinGW64 or UCRT64 environment and then use :command:`pacman` to install build dependencies. The +following steps are explained for UCRT64, but can be applied to MinGW64 similarly. + +.. admonition:: Bash + + .. code-block:: bash + + # Update MSYS2 to latest package releases + pacman -Suyy + + # If the core system was updated, a second run might be required. + pacman -Suyy + + # Install system dependencies + pacman -S git + pacman -S make + pacman -S diffutils + + # Install GHDL build dependencies (GCC with Ada support) + pacman -S ucrt64/mingw-w64-ucrt-x86_64-gcc-ada + +.. rubric:: Building GHDL and libghdl + +The next steps will clone GHDL from GitHub, configure the software, build the binaries, run the testsuite and install +all needed result files into the installation directory. + +.. admonition:: Bash + + .. code-block:: bash + + # Clone GHDL repository + mkdir -p /c/Tools/GHDL + cd /c/Tools/GHDL + git clone https://github.com/ghdl/ghdl.git sources + + # Create build directory and configure GHDL + mkdir -p sources/build + cd sources/build + ../configure --prefix=/c/Tools/GHDL/3.0.0-dev + + # Build GHDL, run testsuite and install to ``prefix`` + make + make install + +The directory structure will look like this: + +.. code-block:: + + ├── Tools + │ ├── GHDL + │ │ ├── 3.0.0-dev + │ │ │ ├── bin + │ │ │ ├── include + │ │ │ ├── lib + │ │ │ │ ├── ghdl + │ │ ├── sources + │ │ │ ├── ... + │ │ │ ├── pyGHDL + │ │ │ ├── src + │ │ │ ├── ... + +In the next steps, some files from MSYS2/UCRT64 need to be copied into the installation directory, so +:file:`libghdl-3_0_0_dev.dll` can be used independently from MSYS2 environments. + +.. rubric:: Installing pyGHDL + +As a final setup step, pyGHDL needs to be installed via PIP by executing some commands in PowerShell. The dependencies +of pyGHDL will take care of installing all necessary requirements like pyVHDLModel. + +.. admonition:: PowerShell + + .. code-block:: powershell + + cd C:\Tools\GHDL\sources + pip install . + + +.. rubric:: Updating GHDL and libghdl + +If GHDL gets updated through new commits, start the UCRT64 console and execute these instructions to build a latest +:file:`libghdl-3_0_0_dev.dll`: + +.. admonition:: Bash + + .. code-block:: bash + + # Update Git reository + cd /c/Tools/GHDL/sources/build + git pull + + # Recompile GHDL + make + + # Overwrite file in installation directory + make install + +.. rubric:: Updating pyGHDL + +TBD + +On Linux +"""""""" + +.. todo:: Write how to get started on Linux with libghdl. + + +On Mac +"""""" + +.. todo:: Write how to get started on Mac with libghdl. + + +Using libghdl with Python +========================= + +An environment variable :envvar:`GHDL_PREFIX=C:\\Tools\\GHDL\\3.0.0-dev\\lib\\ghdl` is needed for libghdl. The path is +constructed from installation path plus ``lib\\ghdl``. + +.. admonition:: GettingStarted.py + + .. code-block:: Python + + from pathlib import Path + from pyGHDL.dom.NonStandard import Design, Document + + fileList = ( + ("libStopWatch", Path("Counter.vhdl")), # a list of 2-element tuples; library name and pat to the VHDL file + ... # just for this example to simply loop all files + ) + + design = Design() + design.LoadDefaultLibraries() # loads std.* and ieee.* (dummies for now to calculate dependencies) + for libName, file in fileList: + library = design.GetLibrary(libName) + document = Document(file) + design.AddDocument(document, library) + + # Analyzing dependencies and computing graphs + design.Analyze() + + # Accessing the TopLevel + design.TopLevel + + # Accessing graphs + design.DependencyGraph + design.HierarchyGraph + design.CompileOrderGraph diff --git a/_sources/Glossary.rst.txt b/_sources/Glossary.rst.txt new file mode 100644 index 000000000..65f0ad56d --- /dev/null +++ b/_sources/Glossary.rst.txt @@ -0,0 +1,16 @@ +Glossary +######## + +.. glossary:: + + LRM + IEEE Standard for VHDL Language Reference Manual + + * `1076-2019 `__. + * `1076-2008 `__ aka IEC 61691-1-1:2011. + * `1076-2002 `__ aka IEC 61691-1-1 Ed.1 (2004-10). + * `1076-2000 `__. + * `1076-1993 `__. + * `1076-1987 `__. + + See :ref:`VASG:About:Standards` for further details. diff --git a/_sources/Installation.rst.txt b/_sources/Installation.rst.txt new file mode 100644 index 000000000..19d04855e --- /dev/null +++ b/_sources/Installation.rst.txt @@ -0,0 +1,209 @@ +.. _INSTALL: + +Installation/Updates +#################### + +.. _INSTALL/pip: + +Using PIP to Install from PyPI +****************************** + +The following instruction are using PIP (Package Installer for Python) as a package manager and PyPI (Python Package +Index) as a source of Python packages. + + +.. _INSTALL/pip/install: + +Installing a Wheel Package from PyPI using PIP +============================================== + +Users of pyTooling can select if the want to install a basic variant of pyTooling. See :ref:`DEP` for more +details. + +.. tab-set:: + + .. tab-item:: Linux/MacOS + :sync: Linux + + .. code-block:: bash + + # Basic sphinx-reports package + pip3 install pyVHDLModel + + .. tab-item:: Windows + :sync: Windows + + .. code-block:: powershell + + # Basic sphinx-reports package + pip install pyVHDLModel + +Developers can install further dependencies for documentation generation (``doc``) or running unit tests (``test``) or +just all (``all``) dependencies. + +.. tab-set:: + + .. tab-item:: Linux/MacOS + :sync: Linux + + .. tab-set:: + + .. tab-item:: With Documentation Dependencies + :sync: Doc + + .. code-block:: bash + + # Install with dependencies to generate documentation + pip3 install pyVHDLModel[doc] + + .. tab-item:: With Unit Testing Dependencies + :sync: Unit + + .. code-block:: bash + + # Install with dependencies to run unit tests + pip3 install pyVHDLModel[test] + + .. tab-item:: All Developer Dependencies + :sync: All + + .. code-block:: bash + + # Install with all developer dependencies + pip install pyVHDLModel[all] + + .. tab-item:: Windows + :sync: Windows + + .. tab-set:: + + .. tab-item:: With Documentation Dependencies + :sync: Doc + + .. code-block:: powershell + + # Install with dependencies to generate documentation + pip install pyVHDLModel[doc] + + .. tab-item:: With Unit Testing Dependencies + :sync: Unit + + .. code-block:: powershell + + # Install with dependencies to run unit tests + pip install pyVHDLModel[test] + + .. tab-item:: All Developer Dependencies + :sync: All + + .. code-block:: powershell + + # Install with all developer dependencies + pip install pyVHDLModel[all] + + +.. _INSTALL/pip/update: + +Updating from PyPI using PIP +============================ + +.. tab-set:: + + .. tab-item:: Linux/MacOS + :sync: Linux + + .. code-block:: bash + + pip install -U pyVHDLModel + + .. tab-item:: Windows + :sync: Windows + + .. code-block:: powershell + + pip3 install -U pyVHDLModel + + +.. _INSTALL/pip/uninstall: + +Uninstallation using PIP +======================== + +.. tab-set:: + + .. tab-item:: Linux/MacOS + :sync: Linux + + .. code-block:: bash + + pip uninstall pyVHDLModel + + .. tab-item:: Windows + :sync: Windows + + .. code-block:: powershell + + pip3 uninstall pyVHDLModel + + +.. _INSTALL/setup: + +Using ``setup.py`` (legacy) +*************************** + +See sections above on how to use PIP. + +Installation using ``setup.py`` +=============================== + +.. code-block:: bash + + setup.py install + + +.. _INSTALL/building: + +Local Packaging and Installation via PIP +**************************************** + +For development and bug fixing it might be handy to create a local wheel package and also install it locally on the +development machine. The following instructions will create a local wheel package (``*.whl``) and then use PIP to +install it. As a user might have a sphinx-reports installation from PyPI, it's recommended to uninstall any previous +sphinx-reports packages. (This step is also needed if installing an updated local wheel file with same version number. PIP +will not detect a new version and thus not overwrite/reinstall the updated package contents.) + +Ensure :ref:`packaging requirements ` are installed. + +.. tab-set:: + + .. tab-item:: Linux/MacOS + :sync: Linux + + .. code-block:: bash + + cd + + # Package the code in a wheel (*.whl) + python -m build --wheel + + # Uninstall the old package + python -m pip uninstall -y pyVHDLModel + + # Install from wheel + python -m pip install ./dist/pyVHDLModel-0.28.0-py3-none-any.whl + + .. tab-item:: Windows + :sync: Windows + + .. code-block:: powershell + + cd + + # Package the code in a wheel (*.whl) + py -m build --wheel + + # Uninstall the old package + py -m pip uninstall -y pyVHDLModel + + # Install from wheel + py -m pip install .\dist\pyVHDLModel-0.28.0-py3-none-any.whl diff --git a/_sources/LanguageModel/ConcurrentStatements.rst.txt b/_sources/LanguageModel/ConcurrentStatements.rst.txt new file mode 100644 index 000000000..cb8e2a6d5 --- /dev/null +++ b/_sources/LanguageModel/ConcurrentStatements.rst.txt @@ -0,0 +1,294 @@ +.. _vhdlmodel-constm: + +Concurrent Statements +##################### + +.. contents:: Table of Content + :local: + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.ConcurrentAssertStatement pyVHDLModel.SyntaxModel.ConcurrentSignalAssignment pyVHDLModel.SyntaxModel.ConcurrentBlockStatement pyVHDLModel.SyntaxModel.ProcessStatement pyVHDLModel.SyntaxModel.IfGenerateStatement pyVHDLModel.SyntaxModel.CaseGenerateStatement pyVHDLModel.SyntaxModel.ForGenerateStatement pyVHDLModel.SyntaxModel.ComponentInstantiation pyVHDLModel.SyntaxModel.ConfigurationInstantiation pyVHDLModel.SyntaxModel.EntityInstantiation pyVHDLModel.SyntaxModel.ConcurrentProcedureCall + :parts: 1 + +.. _vhdlmodel-con-assertstatement: + +Assert Statement +================ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ConcurrentSignalAssignment`: + +.. code-block:: Python + + @export + class ConcurrentAssertStatement(ConcurrentStatement, MixinAssertStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from MixinReportStatement + @property + def Message(self) -> BaseExpression: + + @property + def Severity(self) -> BaseExpression: + + # inherited from MixinAssertStatement + @property + def Condition(self) -> BaseExpression: + + + +.. _vhdlmodel-con-signalassignment: + +Signal Assignment +================= + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ConcurrentSignalAssignment`: + +.. code-block:: Python + + @export + class ConcurrentSignalAssignment(ConcurrentStatement, SignalAssignment): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from Assignment + @property + def Target(self) -> Object: + + @property + def BaseExpression(self) -> BaseExpression: + + + +.. _vhdlmodel-con-blockstatement: + +Concurrent Block Statement +========================== + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ConcurrentBlockStatement`: + +.. code-block:: Python + + @export + class ConcurrentBlockStatement(ConcurrentStatement, BlockStatement, ConcurrentDeclarations, ConcurrentStatements): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from ConcurrentDeclarations + @property + def DeclaredItems(self) -> List: + + # inherited from ConcurrentStatements + @property + def Statements(self) -> List[ConcurrentStatement]: + + # from ConcurrentBlockStatement + @property + def PortItems(self) -> List[PortInterfaceItem]: + +.. _vhdlmodel-instantiations: + +Instantiations +============== + +.. todo:: + + Write documentation. + +.. _vhdlmodel-entityinstantiation: + +Entity Instantiation +-------------------- + +.. _vhdlmodel-componentinstantiation: + +Component Instantiation +----------------------- + +.. _vhdlmodel-configurationinstantiation: + +Configuration Instantiation +--------------------------- + +.. _vhdlmodel-generates: + +Generate Statements +=================== + +.. _vhdlmodel-ifgenerate: + +If Generate +----------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.IfGenerateStatement`: + +.. code-block:: Python + + @export + class IfGenerateStatement(GenerateStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # from IfGenerateStatement + @property + def IfBranch(self) -> IfGenerateBranch: + + @property + def ElsifBranches(self) -> List[ElsifGenerateBranch]: + + @property + def ElseBranch(self) -> ElseGenerateBranch: + + + +.. _vhdlmodel-casegenerate: + +Case Generate +------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.CaseGenerateStatement`: + +.. code-block:: Python + + @export + class CaseGenerateStatement(GenerateStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # from CaseGenerateStatement + @property + def SelectExpression(self) -> BaseExpression: + + @property + def Cases(self) -> List[GenerateCase]: + + + +.. _vhdlmodel-forgenerate: + +For Generate +------------ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ForGenerateStatement`: + +.. code-block:: Python + + @export + class ForGenerateStatement(GenerateStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from ConcurrentDeclarations + @property + def DeclaredItems(self) -> List: + + # inherited from ConcurrentStatements + @property + def Statements(self) -> List[ConcurrentStatement]: + + # from ForGenerateStatement + @property + def LoopIndex(self) -> Constant: + + @property + def Range(self) -> Range: + + + +.. _vhdlmodel-con-procedurecall: + +Procedure Call +============== + +.. todo:: + + Write documentation. + +.. _vhdlmodel-process: + +Process +======= + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ForGenerateStatement`: + +.. code-block:: Python + + class ProcessStatement(ConcurrentStatement, SequentialDeclarations, SequentialStatements): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from SequentialDeclarations + @property + def DeclaredItems(self) -> List: + + # inherited from SequentialStatements + @property + def Statements(self) -> List[SequentialStatement]: + + # from ProcessStatement + @property + def SensitivityList(self) -> List[Signal]: diff --git a/_sources/LanguageModel/DesignUnits.rst.txt b/_sources/LanguageModel/DesignUnits.rst.txt new file mode 100644 index 000000000..bcfe2e3c7 --- /dev/null +++ b/_sources/LanguageModel/DesignUnits.rst.txt @@ -0,0 +1,224 @@ +.. _vhdlmodel-desuni: + +Design Units +############ + +A VHDL design (see :ref:`vhdlmodel-design`) is assembled from *design units*. VHDL distinguishes +between *primary* and *secondary* design units. + +.. contents:: Table of Content + :local: + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.Architecture pyVHDLModel.SyntaxModel.Context pyVHDLModel.SyntaxModel.Configuration pyVHDLModel.SyntaxModel.Entity pyVHDLModel.SyntaxModel.Package pyVHDLModel.SyntaxModel.PackageBody + :parts: 1 + +.. _vhdlmodel-primary: + +Primary Units +============= + +.. _vhdlmodel-context: + +Context +------- + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-configuration: + +Configuration +------------- + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-entity: + +Entity +------ + +An ``Entity`` represents a VHDL entity declaration. Libraries and package +references declared ahead an entity are consumed by that entity and made +available as lists. An entities also provides lists of generic and port items. +The list of declared items (e.g. objects) also contains defined items (e.g. +types). An entity's list of statements is called body items. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Entity`: + +.. code-block:: Python + + @export + class Entity(PrimaryUnit, MixinDesignUnitWithContext): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from MixinDesignUnitWithContext + @property + def LibraryReferences(self) -> List[LibraryClause]: + + @property + def PackageReferences(self) -> List[UseClause]: + + @property + def ContextReferences(self) -> List[Context]: + + # from Entity + @property + def GenericItems(self) -> List[GenericInterfaceItem]: + + @property + def PortItems(self) -> List[PortInterfaceItem]: + + @property + def DeclaredItems(self) -> List: + + @property + def BodyItems(self) -> List[ConcurrentStatement]: + + + +.. _vhdlmodel-package: + +Package +------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Package`: + +.. code-block:: Python + + @export + class Package(PrimaryUnit, MixinDesignUnitWithContext): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from MixinDesignUnitWithContext + @property + def LibraryReferences(self) -> List[LibraryClause]: + + @property + def PackageReferences(self) -> List[UseClause]: + + @property + def ContextReferences(self) -> List[Context]: + + # from Package + @property + def GenericItems(self) -> List[GenericInterfaceItem]: + + @property + def DeclaredItems(self) -> List: + + + +.. _vhdlmodel-secondary: + +Secondary Units +=============== + +.. _vhdlmodel-architeture: + +Architeture +----------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Architecture`: + +.. code-block:: Python + + @export + class Architecture(SecondaryUnit, MixinDesignUnitWithContext): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from MixinDesignUnitWithContext + @property + def LibraryReferences(self) -> List[LibraryClause]: + + @property + def PackageReferences(self) -> List[UseClause]: + + @property + def ContextReferences(self) -> List[Context]: + + # from Architecture + @property + def Entity(self) -> Entity: + + @property + def DeclaredItems(self) -> List: + + @property + def BodyItems(self) -> List[ConcurrentStatement]: + + + +.. _vhdlmodel-packagebody: + +Package Body +------------ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.PackageBody`: + +.. code-block:: Python + + @export + class PackageBody(SecondaryUnit, MixinDesignUnitWithContext): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from MixinDesignUnitWithContext + @property + def LibraryReferences(self) -> List[LibraryClause]: + + @property + def PackageReferences(self) -> List[UseClause]: + + @property + def ContextReferences(self) -> List[Context]: + + # from Package Body + @property + def Package(self) -> Package: + + @property + def DeclaredItems(self) -> List: diff --git a/_sources/LanguageModel/Enumerations.rst.txt b/_sources/LanguageModel/Enumerations.rst.txt new file mode 100644 index 000000000..8f13891bf --- /dev/null +++ b/_sources/LanguageModel/Enumerations.rst.txt @@ -0,0 +1,76 @@ +.. _vhdlmodel-enum: + +Enumerations +############ + +The language model contains some enumerations to express a *kind* of a models +entity. These are not enumerated types defined by VHDL itself, like ``boolean``. + +.. contents:: Table of Content + :local: + + +.. _vhdlmodel-direction: + +Direction +========= + +Ranges and slices have an ascending (``To``) or descending (``DownTo``) direction. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Direction`: + +.. code-block:: Python + + @export + class Direction(Enum): + To = 0 + DownTo = 1 + + + +.. _vhdlmodel-mode: + +Mode +==== + +A *mode* describes the direction of data exchange e.g. for entity ports or subprogram parameters. +In addition to the modes defined by VHDL (``In``, ``Out``, ``InOut``, ``Buffer`` and ``Linkage``), ``Default`` +is a placeholder for omitted modes. The mode is then determined from the context. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Mode`: + +.. code-block:: Python + + @export + class Mode(Enum): + Default = 0 + In = 1 + Out = 2 + InOut = 3 + Buffer = 4 + Linkage = 5 + + + +.. _vhdlmodel-objclass: + +Object ObjectClass +================== + +In addition to the 4 object classes defined by VHDL (`Constant`, `Variable`, +`Signal` and `File`), `Default` is used when no object class is defined. In +such a case, the object class is determined from the context. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ObjectClass`: + +.. code-block:: Python + + @export + class ObjectClass(Enum): + Default = 0 + Constant = 1 + Variable = 2 + Signal = 3 + File = 4 + Type = 5 + Subprogram = 6 diff --git a/_sources/LanguageModel/Expressions.rst.txt b/_sources/LanguageModel/Expressions.rst.txt new file mode 100644 index 000000000..0f4d08573 --- /dev/null +++ b/_sources/LanguageModel/Expressions.rst.txt @@ -0,0 +1,198 @@ +.. _vhdlmodel-expr: + +Literals and Expressions +######################## + +.. contents:: Table of Content + :local: + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.EnumerationLiteral pyVHDLModel.SyntaxModel.IntegerLiteral pyVHDLModel.SyntaxModel.FloatingPointLiteral pyVHDLModel.SyntaxModel.PhysicalLiteral pyVHDLModel.SyntaxModel.CharacterLiteral pyVHDLModel.SyntaxModel.StringLiteral pyVHDLModel.SyntaxModel.BitStringLiteral + :parts: 1 + +.. _vhdlmodel-literals: + +Literals +======== + +.. _vhdlmodel-enumerationliteral: + +Enumeration Literal +------------------- + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-integerliteral: + +Integer Literal +--------------- + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-realliteral: + +Floating Point Literal +---------------------- + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-physicalliteral: + +Physical Literal +---------------- + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-expressions: + +Expressions +=========== + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.UnaryExpression pyVHDLModel.SyntaxModel.AddingExpression pyVHDLModel.SyntaxModel.MultiplyingExpression pyVHDLModel.SyntaxModel.LogicalExpression pyVHDLModel.SyntaxModel.ShiftExpression pyVHDLModel.SyntaxModel.TernaryExpression + :parts: 1 + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-unary: + +Unary Expressions +----------------- + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.InverseExpression pyVHDLModel.SyntaxModel.IdentityExpression pyVHDLModel.SyntaxModel.NegationExpression pyVHDLModel.SyntaxModel.AbsoluteExpression pyVHDLModel.SyntaxModel.TypeConversion pyVHDLModel.SyntaxModel.FunctionCall pyVHDLModel.SyntaxModel.QualifiedExpression + :parts: 1 + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-binary: + +Binary Expressions +------------------ + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.AddingExpression pyVHDLModel.SyntaxModel.MultiplyingExpression pyVHDLModel.SyntaxModel.LogicalExpression pyVHDLModel.SyntaxModel.RelationalExpression pyVHDLModel.SyntaxModel.ShiftExpression + :parts: 1 + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-addingexpression: + +Adding Expressions +~~~~~~~~~~~~~~~~~~ + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.AdditionExpression pyVHDLModel.SyntaxModel.SubtractionExpression pyVHDLModel.SyntaxModel.ConcatenationExpression + :parts: 1 + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-multiplyingexpressions: + +Multiplying Expressions +~~~~~~~~~~~~~~~~~~~~~~~ + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.MultiplyExpression pyVHDLModel.SyntaxModel.DivisionExpression pyVHDLModel.SyntaxModel.RemainderExpression pyVHDLModel.SyntaxModel.ModuloExpression pyVHDLModel.SyntaxModel.ExponentiationExpression + :parts: 1 + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-logicalexpressions: + +Logical Expressions +~~~~~~~~~~~~~~~~~~~ + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.AndExpression pyVHDLModel.SyntaxModel.NandExpression pyVHDLModel.SyntaxModel.OrExpression pyVHDLModel.SyntaxModel.NorExpression pyVHDLModel.SyntaxModel.XorExpression pyVHDLModel.SyntaxModel.XnorExpression + :parts: 1 + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-relationalexpressions: + +Relational Expressions +~~~~~~~~~~~~~~~~~~~~~~ + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.EqualExpression pyVHDLModel.SyntaxModel.UnequalExpression pyVHDLModel.SyntaxModel.GreaterThanExpression pyVHDLModel.SyntaxModel.GreaterEqualExpression pyVHDLModel.SyntaxModel.LessThanExpression + :parts: 1 + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-shiftingexpressions: + +Shifting Expressions +~~~~~~~~~~~~~~~~~~~~ + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.ShiftRightLogicExpression pyVHDLModel.SyntaxModel.ShiftLeftLogicExpression pyVHDLModel.SyntaxModel.ShiftRightArithmeticExpression pyVHDLModel.SyntaxModel.ShiftLeftArithmeticExpression pyVHDLModel.SyntaxModel.RotateRightExpression pyVHDLModel.SyntaxModel.RotateLeftExpression + :parts: 1 + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-ternary: + +Ternary Expressions +------------------- + +.. todo:: + + Write documentation. diff --git a/_sources/LanguageModel/InterfaceItems.rst.txt b/_sources/LanguageModel/InterfaceItems.rst.txt new file mode 100644 index 000000000..34b2a2019 --- /dev/null +++ b/_sources/LanguageModel/InterfaceItems.rst.txt @@ -0,0 +1,301 @@ +.. _vhdlmodel-inter: + +Interface Items +################### + +Interface items are used in generic, port and parameter declarations. + +.. contents:: Table of Content + :local: + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.GenericConstantInterfaceItem pyVHDLModel.SyntaxModel.GenericTypeInterfaceItem pyVHDLModel.SyntaxModel.GenericProcedureInterfaceItem pyVHDLModel.SyntaxModel.GenericFunctionInterfaceItem pyVHDLModel.SyntaxModel.PortSignalInterfaceItem pyVHDLModel.SyntaxModel.ParameterConstantInterfaceItem pyVHDLModel.SyntaxModel.ParameterVariableInterfaceItem pyVHDLModel.SyntaxModel.ParameterSignalInterfaceItem pyVHDLModel.SyntaxModel.ParameterFileInterfaceItem + :parts: 1 + + +.. _vhdlmodel-generics: + +Generic Interface Items +======================= + +.. _vhdlmodel-genericconstant: + +GenericConstantInterfaceItem +---------------------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.GenericConstantInterfaceItem`: + +.. code-block:: Python + + @export + class GenericConstantInterfaceItem(Constant, GenericInterfaceItem): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + # inherited from WithDefaultExpressionMixin + @property + def DefaultExpression(self) -> BaseExpression: + + # inherited from InterfaceItem + @property + def Mode(self) -> Mode: + + + +.. _vhdlmodel-generictype: + +GenericTypeInterfaceItem +------------------------ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.GenericTypeInterfaceItem`: + +.. code-block:: Python + + @Export + class GenericTypeInterfaceItem(GenericInterfaceItem): + + +.. _vhdlmodel-genericprocedure: + +GenericProcedureInterfaceItem +----------------------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.GenericProcedureInterfaceItem`: + +.. code-block:: Python + + @Export + class GenericProcedureInterfaceItem(GenericSubprogramInterfaceItem): + + + +.. _vhdlmodel-genericfunction: + +GenericFunctionInterfaceItem +---------------------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.GenericFunctionInterfaceItem`: + +.. code-block:: Python + + @Export + class GenericFunctionInterfaceItem(GenericSubprogramInterfaceItem): + + + +.. _vhdlmodel-genericpackage: + +GenericPackageInterfaceItem +--------------------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.GenericPackageInterfaceItem`: + +.. code-block:: Python + + @Export + class GenericPackageInterfaceItem(GenericInterfaceItem): + + +.. _vhdlmodel-ports: + +Port Interface Item +=================== + +.. _vhdlmodel-portsignal: + +PortSignalInterfaceItem +----------------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.PortSignalInterfaceItem`: + +.. code-block:: Python + + @export + class PortSignalInterfaceItem(Signal, PortInterfaceItem): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + # inherited from WithDefaultExpressionMixin + @property + def DefaultExpression(self) -> BaseExpression: + + # inherited from InterfaceItem + @property + def Mode(self) -> Mode: + + + +.. _vhdlmodel-parameters: + +Parameter Interface Item +========================= + +.. _vhdlmodel-parameterconstant: + +ParameterConstantInterfaceItem +------------------------------ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ParameterConstantInterfaceItem`: + +.. code-block:: Python + + @export + class ParameterConstantInterfaceItem(Constant, ParameterInterfaceItem): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + # inherited from WithDefaultExpressionMixin + @property + def DefaultExpression(self) -> BaseExpression: + + # inherited from InterfaceItem + @property + def Mode(self) -> Mode: + + + +.. _vhdlmodel-parametervariable: + +ParameterVariableInterfaceItem +------------------------------ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ParameterVariableInterfaceItem`: + +.. code-block:: Python + + @export + class ParameterVariableInterfaceItem(Variable, ParameterInterfaceItem): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + # inherited from WithDefaultExpressionMixin + @property + def DefaultExpression(self) -> BaseExpression: + + # inherited from InterfaceItem + @property + def Mode(self) -> Mode: + + + +.. _vhdlmodel-parametersignal: + +ParameterSignalInterfaceItem +---------------------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ParameterSignalInterfaceItem`: + +.. code-block:: Python + + @export + class ParameterSignalInterfaceItem(Signal, ParameterInterfaceItem): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + # inherited from WithDefaultExpressionMixin + @property + def DefaultExpression(self) -> BaseExpression: + + # inherited from InterfaceItem + @property + def Mode(self) -> Mode: + + + +.. _vhdlmodel-parameterfile: + +ParameterFileInterfaceItem +-------------------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ParameterFileInterfaceItem`: + +.. code-block:: Python + + @Export + class ParameterFileInterfaceItem(ParameterInterfaceItem): diff --git a/_sources/LanguageModel/Miscellaneous.rst.txt b/_sources/LanguageModel/Miscellaneous.rst.txt new file mode 100644 index 000000000..6cb8926b7 --- /dev/null +++ b/_sources/LanguageModel/Miscellaneous.rst.txt @@ -0,0 +1,127 @@ +.. _vhdlmodel-misc: + +Concepts not defined by VHDL +############################ + +Some features required for a holistic language model are not defined in the VHDL +:term:`LRM` (IEEE Std. 1076). Other features are made explicitly implementation +specific to the implementer. This chapter will cover these parts. + +.. contents:: Table of Content + :local: + + +.. _vhdlmodel-design: + +Design +====== + +The root element in the language model is a design made out of multiple +sourcecode files (documents). Sourcecode files are compiled into libraries. Thus +a design has the two child nodes: :attr:`~pyVHDLModel.SyntaxModel.Design.Libraries` +and :attr:`~pyVHDLModel.SyntaxModel.Design.Documents`. Each is a :class:`list`. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Design`: + +.. code-block:: Python + + @export + class Design(ModelEntity): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # from Design + @property + def Libraries(self) -> Dict[str, Library]: + + @property + def Documents(self) -> List[Document]: + + def GetLibrary(self, libraryName: str) -> Library: + + def AddDocument(self, document: Document, library: Library): + + +.. _vhdlmodel-library: + +Library +======= + +A library contains multiple *design units*. Each design unit listed in a library +is a *primary* design unit like: :class:`~pyVHDLModel.SyntaxModel.Configuration`, +:class:`~pyVHDLModel.SyntaxModel.Entity`, :class:`~pyVHDLModel.SyntaxModel.Package` or +:class:`~pyVHDLModel.SyntaxModel.Context`. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Library`: + +.. code-block:: Python + + @export + class Library(ModelEntity): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # from Library + @property + def Contexts(self) -> List[Context]: + + @property + def Configurations(self) -> List[Configuration]: + + @property + def Entities(self) -> List[Entity]: + + @property + def Packages(self) -> List[Package]: + + + +.. _vhdlmodel-document: + +Document +======== + +A source file (document) contains multiple *design units*. Each design unit +listed in a sourcecode file is a *primary* or *secondary* design unit like: +``configuration``, ``entity``, ``architecture``, ``package``, ``package body`` +or ``context``. + +Design unit may be preceded by a context made of ``library``, ``use`` and +``context`` statements. These statements are not directly visible in the +``Document`` object, because design unit contexts are consumed by the design +units. See the ``Libraries`` and ``Uses`` fields of each design unit to +investigate the consumed contexts. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Document`: + +.. code-block:: Python + + @export + class Document(ModelEntity): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # from Document + @property + def Path(self) -> Path: + + @property + def Contexts(self) -> List[Context]: + + @property + def Configurations(self) -> List[Configuration]: + + @property + def Entities(self) -> List[Entity]: + + @property + def Architectures(self) -> List[Architecture]: + + @property + def Packages(self) -> List[Package]: + + @property + def PackageBodies(self) -> List[PackageBody]: diff --git a/_sources/LanguageModel/ObjectDeclarations.rst.txt b/_sources/LanguageModel/ObjectDeclarations.rst.txt new file mode 100644 index 000000000..369b7052c --- /dev/null +++ b/_sources/LanguageModel/ObjectDeclarations.rst.txt @@ -0,0 +1,270 @@ +.. _vhdlmodel-obj: + +Object Declarations +################### + +.. contents:: Table of Content + :local: + +.. #rubric:: Class Hierarchy + +.. #inheritance-diagram:: pyVHDLModel.SyntaxModel.Constant pyVHDLModel.SyntaxModel.DeferredConstant pyVHDLModel.SyntaxModel.GenericConstantInterfaceItem pyVHDLModel.SyntaxModel.ParameterConstantInterfaceItem pyVHDLModel.SyntaxModel.Variable pyVHDLModel.SyntaxModel.ParameterVariableInterfaceItem pyVHDLModel.SyntaxModel.Signal pyVHDLModel.SyntaxModel.PortSignalInterfaceItem pyVHDLModel.SyntaxModel.ParameterSignalInterfaceItem pyVHDLModel.SyntaxModel.File pyVHDLModel.SyntaxModel.ParameterFileInterfaceItem + :parts: 1 + + + +.. _vhdlmodel-constants: + +Constants +========= + +VHDL defines regular constants as an object. In addition, deferred constants are +supported in package declarations. Often generics to e.g. packages or entities +are constants. Also most *in* parameters to subprograms are constants. + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.Constant pyVHDLModel.SyntaxModel.DeferredConstant pyVHDLModel.SyntaxModel.GenericConstantInterfaceItem pyVHDLModel.SyntaxModel.ParameterConstantInterfaceItem + :parts: 1 + + + +.. _vhdlmodel-constant: + +Constant +-------- + +A constant represents immutable data. This data (value) must be assigned via a +default expression. If a constant's value is delayed in calculation, it's called +a deferred constant. See :ref:`vhdlmodel-deferredconstant` in next section. + + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Constant`: + +.. code-block:: Python + + @export + class Constant(BaseConstant): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + @property + def DefaultExpression(self) -> BaseExpression: + + + +.. _vhdlmodel-deferredconstant: + +Deferred Constant +----------------- + +If a constant's value is delayed in calculation, it's a deferred constant. Such +a deferred constant has a reference to the *regular* constant of the same name. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.DeferredConstant`: + +.. code-block:: Python + + @export + class DeferredConstant(BaseConstant): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + # inherited from WithDefaultExpressionMixin + @property + def ConstantReference(self) -> Constant: + + + +.. _vhdlmodel-obj-genericconstant: + +Generic Constant +---------------- + +A generic without object class or a generic constant is a *regular* constant. + +.. seealso:: + + See :ref:`vhdlmodel-genericconstant` for details. + +.. _vhdlmodel-obj-parameterconstant: + +Constant as Parameter +--------------------- + +A subprogram parameter without object class of mode *in* or a parameter constant is a *regular* constant. + +.. seealso:: + + See :ref:`vhdlmodel-parameterconstant` for details. + + + +.. _vhdlmodel-variables: + +Variables +========= + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.Variable pyVHDLModel.SyntaxModel.ParameterVariableInterfaceItem + :parts: 1 + +.. _vhdlmodel-variable: + +Variable +-------- + +A variable represents mutable data in sequential regions. Assignments to +variables have no delay. The initial value can be assigned via a default +expression. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Variable`: + +.. code-block:: Python + + @export + class Variable(Object): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + # inherited from WithDefaultExpressionMixin + @property + def DefaultExpression(self) -> BaseExpression: + + + +.. _vhdlmodel-obj-parametervariable: + +Variable as Parameter +--------------------- + +A subprogram parameter without object class of mode *out* or a parameter variable is a *regular* variable. + +.. seealso:: + + See :ref:`vhdlmodel-parametervariable` for details. + + +.. _vhdlmodel-sharedvariable: + +Shared Variable +=============== + +.. todo:: + + Write documentation. + +.. _vhdlmodel-signals: + +Signals +======= + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.Signal pyVHDLModel.SyntaxModel.PortSignalInterfaceItem pyVHDLModel.SyntaxModel.ParameterSignalInterfaceItem + :parts: 1 + +.. _vhdlmodel-signal: + +Signal +------ + +A signal represents mutable data in concurrent regions. Assignments to signals +are delayed until next wait statement is executed. The initial value can be +assigned via a default expression. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Signal`: + +.. code-block:: Python + + @export + class Signal(Object): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Object + @property + def Subtype(self) -> Subtype: + + # inherited from WithDefaultExpressionMixin + @property + def DefaultExpression(self) -> BaseExpression: + + + +.. _vhdlmodel-obj-portsignal: + +Signal as Port +-------------- + +A port signal is a *regular* signal. + +.. seealso:: + + See :ref:`vhdlmodel-portsignal` for details. + +.. _vhdlmodel-obj-parametersignal: + +Signal as Parameter +------------------- + +A parameter signal is a *regular* signal. + +.. seealso:: + + See :ref:`vhdlmodel-parametersignal` for details. + +.. _vhdlmodel-files: + +Files +===== + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.File pyVHDLModel.SyntaxModel.ParameterFileInterfaceItem + :parts: 1 + +.. _vhdlmodel-file: + +File +---- + +.. todo:: + + Write documentation. + +.. _vhdlmodel-obj-parameterfile: + +File as Parameter +----------------- + +A parameter file is a *regular* file. + +.. seealso:: + + See :ref:`vhdlmodel-parameterfile` for details. diff --git a/_sources/LanguageModel/SequentialStatements.rst.txt b/_sources/LanguageModel/SequentialStatements.rst.txt new file mode 100644 index 000000000..5cb4394bf --- /dev/null +++ b/_sources/LanguageModel/SequentialStatements.rst.txt @@ -0,0 +1,451 @@ +.. _vhdlmodel-seqstm: + +Sequential Statements +##################### + +.. contents:: Table of Content + :local: + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.SequentialAssertStatement pyVHDLModel.SyntaxModel.SequentialReportStatement pyVHDLModel.SyntaxModel.SequentialSignalAssignment pyVHDLModel.SyntaxModel.VariableAssignment pyVHDLModel.SyntaxModel.IfStatement pyVHDLModel.SyntaxModel.CaseStatement pyVHDLModel.SyntaxModel.EndlessLoopStatement pyVHDLModel.SyntaxModel.ForLoopStatement pyVHDLModel.SyntaxModel.WhileLoopStatement pyVHDLModel.SyntaxModel.NextStatement pyVHDLModel.SyntaxModel.ExitStatement pyVHDLModel.SyntaxModel.SequentialProcedureCall pyVHDLModel.SyntaxModel.WaitStatement pyVHDLModel.SyntaxModel.ReturnStatement + :parts: 1 + +.. _vhdlmodel-seq-assignments: + +Assignments +=========== + + + +.. _vhdlmodel-seq-signalassignment: + +Signal Assignment +----------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.SequentialSignalAssignment`: + +.. code-block:: Python + + @export + class SequentialSignalAssignment(SequentialStatement, SignalAssignment): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from Assignment + @property + def Target(self) -> Object: + + @property + def BaseExpression(self) -> BaseExpression: + + + +.. _vhdlmodel-variableassignment: + +Variable Assignment +------------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.SequentialVariableAssignment`: + +.. code-block:: Python + + @export + class SequentialVariableAssignment(SequentialStatement, VariableAssignment): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from Assignment + @property + def Target(self) -> Object: + + @property + def BaseExpression(self) -> BaseExpression: + + + +.. _vhdlmodel-branching: + +Branching +========= + +.. _vhdlmodel-ifstatement: + +If Statement +------------ + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-casestatement: + +Case Statement +-------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.CaseStatement`: + +.. code-block:: Python + + @export + class CaseStatement(CompoundStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # from CaseGenerateStatement + @property + def SelectExpression(self) -> BaseExpression: + + @property + def Cases(self) -> List[SequentialCase]: + + + +.. _vhdlmodel-loops: + +Loops +===== + +.. _vhdlmodel-endlessloop: + +Endless Loop +------------ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.EndlessLoopStatement`: + +.. code-block:: Python + + @export + class EndlessLoopStatement(LoopStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from SequentialStatements + @property + def Statements(self) -> List[SequentialStatement]: + + + +.. _vhdlmodel-forloop: + +For Loop +-------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ForLoopStatement`: + +.. code-block:: Python + + @export + class ForLoopStatement(LoopStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from SequentialStatements + @property + def Statements(self) -> List[SequentialStatement]: + + # from ForLoopStatement + @property + def LoopIndex(self) -> Constant: + + @property + def Range(self) -> Range: + + + +.. _vhdlmodel-whileloop: + +While Loop +---------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.WhileLoopStatement`: + +.. code-block:: Python + + @export + class WhileLoopStatement(LoopStatement, BaseConditional): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from SequentialStatements + @property + def Statements(self) -> List[SequentialStatement]: + + # inherited from BaseConditional + @property + def Condition(self) -> BaseExpression: + + +.. _vhdlmodel-nextstatement: + +Next Statement +-------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.NextStatement`: + +.. code-block:: Python + + @export + class NextStatement(SequentialStatement, BaseConditional): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from BaseCondition + @property + def Condition(self) -> BaseExpression: + + # inherited from LoopControlStatement + @property + def LoopReference(self) -> LoopStatement: + + + +.. _vhdlmodel-exitstatement: + +Exit Statement +-------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ExitStatement`: + +.. code-block:: Python + + @export + class ExitStatement(SequentialStatement, BaseConditional): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from BaseCondition + @property + def Condition(self) -> BaseExpression: + + # inherited from LoopControlStatement + @property + def LoopReference(self) -> LoopStatement: + + + +.. _vhdlmodel-reporting: + +Reporting +========= + + +.. _vhdlmodel-seq-reportstatement: + +Report Statement +---------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.SequentialReportStatement`: + +.. code-block:: Python + + @export + class SequentialReportStatement(SequentialStatement, MixinReportStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from MixinReportStatement + @property + def Message(self) -> BaseExpression: + + @property + def Severity(self) -> BaseExpression: + + + +.. _vhdlmodel-seq-assertstatement: + +Assert Statement +---------------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.SequentialAssertStatement`: + +.. code-block:: Python + + @export + class SequentialAssertStatement(SequentialStatement, MixinAssertStatement): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from MixinReportStatement + @property + def Message(self) -> BaseExpression: + + @property + def Severity(self) -> BaseExpression: + + # inherited from MixinAssertStatement + @property + def Condition(self) -> BaseExpression: + + + +.. _vhdlmodel-seq-procedurecall: + +Procedure Call +============== + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-waitstatement: + +Wait Statement +============== + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.WaitStatement`: + +.. code-block:: Python + + @export + class WaitStatement(SequentialStatement, BaseConditional): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from BaseCondition + @property + def Condition(self) -> BaseExpression: + + # from WaitStatement + @property + def SensitivityList(self) -> List[Signal]: + + @property + def Timeout(self) -> BaseExpression: + + + +.. _vhdlmodel-returnstatement: + +Return Statement +================ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ReturnStatement`: + +.. code-block:: Python + + @export + class ReturnStatement(SequentialStatement, BaseConditional): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from LabeledEntity + @property + def Label(self) -> str: + + # inherited from BaseCondition + @property + def Condition(self) -> BaseExpression: + + # from ReturnStatement + @property + def ReturnValue(self) -> BaseExpression: diff --git a/_sources/LanguageModel/SubprogramDefinitions.rst.txt b/_sources/LanguageModel/SubprogramDefinitions.rst.txt new file mode 100644 index 000000000..08f41f7cb --- /dev/null +++ b/_sources/LanguageModel/SubprogramDefinitions.rst.txt @@ -0,0 +1,242 @@ +.. _vhdlmodel-subprog: + +Subprogram Declarations +######################## + +.. contents:: Table of Content + :local: + +.. #rubric:: Class Hierarchy + +.. #inheritance-diagram:: pyVHDLModel.SyntaxModel.Procedure pyVHDLModel.SyntaxModel.ProcedureMethod pyVHDLModel.SyntaxModel.GenericProcedureInterfaceItem pyVHDLModel.SyntaxModel.Function pyVHDLModel.SyntaxModel.FunctionMethod pyVHDLModel.SyntaxModel.GenericFunctionInterfaceItem + :parts: 1 + +.. _vhdlmodel-procedures: + +Procedures +========== + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.Procedure pyVHDLModel.SyntaxModel.ProcedureMethod pyVHDLModel.SyntaxModel.GenericProcedureInterfaceItem + :parts: 1 + + + +.. _vhdlmodel-procedure: + +Procedure +--------- + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Procedure`: + +.. code-block:: Python + + @export + class Procedure(SubProgramm): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Subprogram + @property + def GenericItems(self) -> List[GenericInterfaceItem]: + + @property + def ParameterItems(self) -> List[ParameterInterfaceItem]: + + @property + def DeclaredItems(self) -> List: + + @property + def BodyItems(self) -> List[SequentialStatement]: + + @property + def IsPure(self) -> bool: + + + +.. _vhdlmodel-procedureinstantiation: + +Procedure Instantiation +----------------------- + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-proceduremethod: + +Procedure as Method +------------------- + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ProcedureMethod`: + +.. code-block:: Python + + @export + class ProcedureMethod(SubProgramm): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Subprogram + @property + def GenericItems(self) -> List[GenericInterfaceItem]: + + @property + def ParameterItems(self) -> List[ParameterInterfaceItem]: + + @property + def DeclaredItems(self) -> List: + + @property + def BodyItems(self) -> List[SequentialStatement]: + + @property + def IsPure(self) -> bool: + + # inherited from Method + @property + def ProtectedType(self) -> ProtectedType: + + + +.. _vhdlmodel-sub-genericprocedure: + +Generic Procedure +----------------- + +A generic procedure is a *regular* procedure. + +.. seealso:: + + See :ref:`vhdlmodel-genericprocedure` for details. + + + +.. _vhdlmodel-functions: + +Functions +========= + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.Function pyVHDLModel.SyntaxModel.FunctionMethod pyVHDLModel.SyntaxModel.GenericFunctionInterfaceItem + :parts: 1 + + + +.. _vhdlmodel-function: + +Function +-------- + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.Function`: + +.. code-block:: Python + + @export + class Function(SubProgramm): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Subprogram + @property + def GenericItems(self) -> List[GenericInterfaceItem]: + + @property + def ParameterItems(self) -> List[ParameterInterfaceItem]: + + @property + def DeclaredItems(self) -> List: + + @property + def BodyItems(self) -> List[SequentialStatement]: + + @property + def IsPure(self) -> bool: + + # from Function + @property + def ReturnType(self) -> Subtype: + + + +.. _vhdlmodel-functioninstantiation: + +Function Instantiation +---------------------- + +.. todo:: + + Write documentation. + + + +.. _vhdlmodel-functionmethod: + +Function as Method +------------------ + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.FunctionMethod`: + +.. code-block:: Python + + @export + class Function(SubProgramm): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from Subprogram + @property + def GenericItems(self) -> List[GenericInterfaceItem]: + + @property + def ParameterItems(self) -> List[ParameterInterfaceItem]: + + @property + def DeclaredItems(self) -> List: + + @property + def BodyItems(self) -> List[SequentialStatement]: + + @property + def IsPure(self) -> bool: + + # inherited from Function + @property + def ReturnType(self) -> Subtype: + + # inherited from Method + @property + def ProtectedType(self) -> ProtectedType: + + + +.. _vhdlmodel-sub-genericfunction: + +Generic Function +---------------- + +A generic function is a *regular* function. + +.. seealso:: + + See :ref:`vhdlmodel-genericfunction` for details. diff --git a/_sources/LanguageModel/SubtypeDefinitions.rst.txt b/_sources/LanguageModel/SubtypeDefinitions.rst.txt new file mode 100644 index 000000000..370886f6f --- /dev/null +++ b/_sources/LanguageModel/SubtypeDefinitions.rst.txt @@ -0,0 +1,18 @@ +.. _vhdlmodel-subtypes: + +Subtype Declarations +#################### + +VHDL has subtypes to constrain types. + +.. contents:: Table of Content + :local: + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.Subtype + :parts: 1 + +.. todo:: + + Write documentation. diff --git a/_sources/LanguageModel/TypeDefinitions.rst.txt b/_sources/LanguageModel/TypeDefinitions.rst.txt new file mode 100644 index 000000000..5fb55c369 --- /dev/null +++ b/_sources/LanguageModel/TypeDefinitions.rst.txt @@ -0,0 +1,244 @@ +.. _vhdlmodel-types: + +Type Declarations +################# + +VHDL has types (also called a base type) and subtypes. The following shows VHDL's type hierarchy: + +.. contents:: Table of Content + :local: + +.. rubric:: Class Hierarchy + +.. inheritance-diagram:: pyVHDLModel.SyntaxModel.EnumeratedType pyVHDLModel.SyntaxModel.IntegerType pyVHDLModel.SyntaxModel.RealType pyVHDLModel.SyntaxModel.PhysicalType pyVHDLModel.SyntaxModel.ArrayType pyVHDLModel.SyntaxModel.RecordType pyVHDLModel.SyntaxModel.ProtectedType pyVHDLModel.SyntaxModel.AccessType pyVHDLModel.SyntaxModel.FileType + :parts: 1 + + +.. _vhdlmodel-scalartypes: + +Scalar Types +============ + +.. _vhdlmodel-enumeratedtypes: + +Enumeration +----------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.EnumeratedType`: + +.. code-block:: Python + + @export + class EnumeratedType(ScalarType, DiscreteType): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # from EnumeratedType + @property + def Elements(self) -> List[str]: + + + +.. _vhdlmodel-integertypes: + +Integer +------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.IntegerType`: + +.. code-block:: Python + + @export + class IntegerType(RangedScalarType, NumericType, DiscreteType): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from RangedScalarType + @property + def LeftBound(self) -> 'BaseExpression': + + @property + def RightBound(self) -> 'BaseExpression': + + + +.. _vhdlmodel-realtypes: + +Real +---- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.RealType`: + +.. code-block:: Python + + @export + class RealType(RangedScalarType, NumericType): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from RangedScalarType + @property + def LeftBound(self) -> 'BaseExpression': + + @property + def RightBound(self) -> 'BaseExpression': + + + +.. _vhdlmodel-physicaltypes: + +Physical +-------- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.PhysicalType`: + +.. code-block:: Python + + @export + class PhysicalType(RangedScalarType, NumericType): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # inherited from RangedScalarType + @property + def LeftBound(self) -> 'BaseExpression': + + @property + def RightBound(self) -> 'BaseExpression': + + # from PhysicalType + @property + def PrimaryUnit(self) -> str: + + @property + def SecondaryUnits(self) -> List[Tuple[int, str]]: + + + +.. _vhdlmodel-compositetypes: + +Composite Types +=============== + +.. _vhdlmodel-arraytypes: + +Array +----- + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.ArrayType`: + +.. code-block:: Python + + @export + class ArrayType(CompositeType): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # from ArrayType + @property + def Dimensions(self) -> List[Range]: + + @property + def ElementType(self) -> Subtype: + + + +.. _vhdlmodel-recordtypes: + +Record +------ + +.. todo:: + + Write documentation. + +**Condensed definition of class** :class:`~pyVHDLModel.SyntaxModel.RecordType`: + +.. code-block:: Python + + @export + class RecordType(CompositeType): + # inherited from ModelEntity + @property + def Parent(self) -> ModelEntity: + + # inherited from NamedEntity + @property + def Name(self) -> str: + + # from RecordType + @property + def Members(self) -> List[RecordTypeElement]: + + +.. _vhdlmodel-protectedtypes: + +Protected +========= + +.. todo:: + + Write documentation. + +.. _vhdlmodel-accesstypes: + +Access +====== + +.. todo:: + + Write documentation. + +.. _vhdlmodel-filetypes: + +File +==== + +.. todo:: + + Write documentation. diff --git a/_sources/LanguageModel/index.rst.txt b/_sources/LanguageModel/index.rst.txt new file mode 100644 index 000000000..608f351b0 --- /dev/null +++ b/_sources/LanguageModel/index.rst.txt @@ -0,0 +1,31 @@ +.. _vhdlmodel: + +VHDL Language Model +################### + +.. rubric:: Design Goal + + * Clearly named classes that model the semantics of VHDL. + * All language constructs (statements, declarations, specifications, …) have + their own classes. |br| These classes are arranged in a logical hierarchy, + with a single common base-class. + * Child objects shall have a reference to their parent. + * Comments will be associated with a particular code object. + * Easy modifications of the object tree. + +.. rubric:: Elements of the Language Model + +.. toctree:: + :maxdepth: 1 + + Miscellaneous + Enumerations + DesignUnits + InterfaceItems + SubprogramDefinitions + TypeDefinitions + SubtypeDefinitions + ObjectDeclarations + ConcurrentStatements + SequentialStatements + Expressions diff --git a/_sources/License.rst.txt b/_sources/License.rst.txt new file mode 100644 index 000000000..fba66a206 --- /dev/null +++ b/_sources/License.rst.txt @@ -0,0 +1,140 @@ +.. _SRCLICENSE: + +.. Note:: This is a local copy of the `Apache License Version 2.0 `__. + +.. Attention:: This **Apache License, 2.0** applies to all **source and configuration files of project**, **except documentation**. + +Apache License 2.0 +################## + +Version 2.0, January 2004 + +:xlarge:`TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION` + + +1. Definitions. +=============== +**"License"** shall mean the terms and conditions for use, reproduction, and distribution as defined by Sections 1 through 9 of this document. + +**"Licensor"** shall mean the copyright owner or entity authorized by the copyright owner that is granting the License. + +**"Legal Entity"** shall mean the union of the acting entity and all other entities that control, are controlled by, or are under common control with that +entity. For the purposes of this definition, **"control"** means (i) the power, direct or indirect, to cause the direction or management of such entity, whether +by contract or otherwise, or (ii) ownership of fifty percent (50%) or more of the outstanding shares, or (iii) beneficial ownership of such entity. + +**"You"** (or **"Your"**) shall mean an individual or Legal Entity exercising permissions granted by this License. + +**"Source"** form shall mean the preferred form for making modifications, including but not limited to software source code, documentation source, and +configuration files. + +**"Object"** form shall mean any form resulting from mechanical transformation or translation of a Source form, including but not limited to compiled object +code, generated documentation, and conversions to other media types. + +**"Work"** shall mean the work of authorship, whether in Source or Object form, made available under the License, as indicated by a copyright notice that is +included in or attached to the work (an example is provided in the Appendix below). + +**"Derivative Works"** shall mean any work, whether in Source or Object form, that is based on (or derived from) the Work and for which the editorial revisions, +annotations, elaborations, or other modifications represent, as a whole, an original work of authorship. For the purposes of this License, Derivative Works +shall not include works that remain separable from, or merely link (or bind by name) to the interfaces of, the Work and Derivative Works thereof. + +**"Contribution"** shall mean any work of authorship, including the original version of the Work and any modifications or additions to that Work or Derivative +Works thereof, that is intentionally submitted to Licensor for inclusion in the Work by the copyright owner or by an individual or Legal Entity authorized to +submit on behalf of the copyright owner. For the purposes of this definition, **"submitted"** means any form of electronic, verbal, or written communication +sent to the Licensor or its representatives, including but not limited to communication on electronic mailing lists, source code control systems, and issue +tracking systems that are managed by, or on behalf of, the Licensor for the purpose of discussing and improving the Work, but excluding communication that is +conspicuously marked or otherwise designated in writing by the copyright owner as **"Not a Contribution."** + +**"Contributor"** shall mean Licensor and any individual or Legal Entity on behalf of whom a Contribution has been received by Licensor and subsequently +incorporated within the Work. + +2. Grant of Copyright License. +============================== +Subject to the terms and conditions of this License, each Contributor hereby grants to You a perpetual, worldwide, non-exclusive, no-charge, royalty-free, +irrevocable copyright license to reproduce, prepare Derivative Works of, publicly display, publicly perform, sublicense, and distribute the Work and such +Derivative Works in Source or Object form. + +3. Grant of Patent License. +=========================== +Subject to the terms and conditions of this License, each Contributor hereby grants to You a perpetual, worldwide, non-exclusive, no-charge, royalty-free, +irrevocable (except as stated in this section) patent license to make, have made, use, offer to sell, sell, import, and otherwise transfer the Work, where such +license applies only to those patent claims licensable by such Contributor that are necessarily infringed by their Contribution(s) alone or by combination of +their Contribution(s) with the Work to which such Contribution(s) was submitted. If You institute patent litigation against any entity (including a cross-claim +or counterclaim in a lawsuit) alleging that the Work or a Contribution incorporated within the Work constitutes direct or contributory patent infringement, then +any patent licenses granted to You under this License for that Work shall terminate as of the date such litigation is filed. + +4. Redistribution. +================== +You may reproduce and distribute copies of the Work or Derivative Works thereof in any medium, with or without modifications, and in Source or Object form, +provided that You meet the following conditions: + +* You must give any other recipients of the Work or Derivative Works a copy of this License; and +* You must cause any modified files to carry prominent notices stating that You changed the files; and +* You must retain, in the Source form of any Derivative Works that You distribute, all copyright, patent, trademark, and attribution notices from the Source + form of the Work, excluding those notices that do not pertain to any part of the Derivative Works; and +* If the Work includes a **"NOTICE"** text file as part of its distribution, then any Derivative Works that You distribute must include a readable copy of the + attribution notices contained within such NOTICE file, excluding those notices that do not pertain to any part of the Derivative Works, in at least one of the + following places: within a NOTICE text file distributed as part of the Derivative Works; within the Source form or documentation, if provided along with the + Derivative Works; or, within a display generated by the Derivative Works, if and wherever such third-party notices normally appear. The contents of the NOTICE + file are for informational purposes only and do not modify the License. You may add Your own attribution notices within Derivative Works that You distribute, + alongside or as an addendum to the NOTICE text from the Work, provided that such additional attribution notices cannot be construed as modifying the License. + +You may add Your own copyright statement to Your modifications and may provide additional or different license terms and conditions for use, reproduction, or +distribution of Your modifications, or for any such Derivative Works as a whole, provided Your use, reproduction, and distribution of the Work otherwise +complies with the conditions stated in this License. + +5. Submission of Contributions. +=============================== +Unless You explicitly state otherwise, any Contribution intentionally submitted for inclusion in the Work by You to the Licensor shall be under the terms and +conditions of this License, without any additional terms or conditions. Notwithstanding the above, nothing herein shall supersede or modify the terms of any +separate license agreement you may have executed with Licensor regarding such Contributions. + +6. Trademarks. +============== +This License does not grant permission to use the trade names, trademarks, service marks, or product names of the Licensor, except as required for reasonable +and customary use in describing the origin of the Work and reproducing the content of the NOTICE file. + +7. Disclaimer of Warranty. +========================== +Unless required by applicable law or agreed to in writing, Licensor provides the Work (and each Contributor provides its Contributions) on an "AS IS" BASIS, +WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied, including, without limitation, any warranties or conditions of TITLE, NON-INFRINGEMENT, +MERCHANTABILITY, or FITNESS FOR A PARTICULAR PURPOSE. You are solely responsible for determining the appropriateness of using or redistributing the Work and +assume any risks associated with Your exercise of permissions under this License. + +8. Limitation of Liability. +=========================== +In no event and under no legal theory, whether in tort (including negligence), contract, or otherwise, unless required by applicable law (such as deliberate +and grossly negligent acts) or agreed to in writing, shall any Contributor be liable to You for damages, including any direct, indirect, special, incidental, or +consequential damages of any character arising as a result of this License or out of the use or inability to use the Work (including but not limited to damages +for loss of goodwill, work stoppage, computer failure or malfunction, or any and all other commercial damages or losses), even if such Contributor has been +advised of the possibility of such damages. + +9. Accepting Warranty or Additional Liability. +============================================== +While redistributing the Work or Derivative Works thereof, You may choose to offer, and charge a fee for, acceptance of support, warranty, indemnity, or other +liability obligations and/or rights consistent with this License. However, in accepting such obligations, You may act only on Your own behalf and on Your sole +responsibility, not on behalf of any other Contributor, and only if You agree to indemnify, defend, and hold each Contributor harmless for any liability +incurred by, or claims asserted against, such Contributor by reason of your accepting any such warranty or additional liability. + +---------------------------------------------------------------------------------------------------------------------------------------------------------------- + +:xlarge:`Appendix: How to apply the Apache License to your work` + +To apply the Apache License to your work, attach the following boilerplate notice, with the fields enclosed by brackets "[]" replaced with your own identifying +information. (Don't include the brackets!) The text should be enclosed in the appropriate comment syntax for the file format. We also recommend that a file or +class name and description of purpose be included on the same "printed page" as the copyright notice for easier identification within third-party archives. + +.. code-block:: none + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. diff --git a/_sources/TODO.rst.txt b/_sources/TODO.rst.txt new file mode 100644 index 000000000..3144da040 --- /dev/null +++ b/_sources/TODO.rst.txt @@ -0,0 +1,4 @@ +TODOs +##### + +.. todolist:: diff --git a/_sources/coverage/index.rst.txt b/_sources/coverage/index.rst.txt new file mode 100644 index 000000000..bad51b90a --- /dev/null +++ b/_sources/coverage/index.rst.txt @@ -0,0 +1,7 @@ +Code Coverage Report +#################### + +Code coverage report generated with `pytest `__ and `Coverage.py `__. + +.. report:code-coverage:: + :packageid: src diff --git a/_sources/index.rst.txt b/_sources/index.rst.txt new file mode 100644 index 000000000..69f206552 --- /dev/null +++ b/_sources/index.rst.txt @@ -0,0 +1,260 @@ +.. include:: shields.inc + +.. image:: _static/logo.svg + :height: 90 px + :align: center + :target: https://GitHub.com/VHDL/pyVHDLModel + +.. raw:: html + +
+ +.. raw:: latex + + \part{Introduction} + +.. only:: html + + | |SHIELD:svg:pyVHDLModel-github| |SHIELD:svg:pyVHDLModel-src-license| |SHIELD:svg:pyVHDLModel-ghp-doc| |SHIELD:svg:pyVHDLModel-doc-license| |SHIELD:svg:pyVHDLModel-gitter| + | |SHIELD:svg:pyVHDLModel-pypi-tag| |SHIELD:svg:pyVHDLModel-pypi-status| |SHIELD:svg:pyVHDLModel-pypi-python| + | |SHIELD:svg:pyVHDLModel-gha-test| |SHIELD:svg:pyVHDLModel-lib-status| |SHIELD:svg:pyVHDLModel-codacy-quality| |SHIELD:svg:pyVHDLModel-codacy-coverage| |SHIELD:svg:pyVHDLModel-codecov-coverage| + +.. Disabled shields: |SHIELD:svg:pyVHDLModel-lib-dep| |SHIELD:svg:pyVHDLModel-lib-rank| + +.. only:: latex + + |SHIELD:png:pyVHDLModel-github| |SHIELD:png:pyVHDLModel-src-license| |SHIELD:png:pyVHDLModel-ghp-doc| |SHIELD:png:pyVHDLModel-doc-license| |SHIELD:svg:pyVHDLModel-gitter| + |SHIELD:png:pyVHDLModel-pypi-tag| |SHIELD:png:pyVHDLModel-pypi-status| |SHIELD:png:pyVHDLModel-pypi-python| + |SHIELD:png:pyVHDLModel-gha-test| |SHIELD:png:pyVHDLModel-lib-status| |SHIELD:png:pyVHDLModel-codacy-quality| |SHIELD:png:pyVHDLModel-codacy-coverage| |SHIELD:png:pyVHDLModel-codecov-coverage| + +.. Disabled shields: |SHIELD:png:pyVHDLModel-lib-dep| |SHIELD:png:pyVHDLModel-lib-rank| + +The pyVHDLModel Documentation +############################# + +An abstract VHDL language model. + + +.. _goals: + +Main Goals +********** + +This package provides a unified abstract language model for VHDL. Projects reading +from source files can derive own classes and implement additional logic to create +a concrete language model for their tools. + +Projects consuming pre-processed VHDL data (parsed, analyzed or elaborated) can +build higher level features and services on such a model, while supporting multiple +frontends. + + +.. _usecase: + +Use Cases +********* + +* High-level API for `GHDL's `__ `libghdl` offered via `pyGHDL `__. +* Code Document-Object-Model (Code-DOM) in `pyVHDLParser `__. + + +.. _news: + +News +**** + +.. only:: html + + Jan. 2023 - Dependency, Hierarchy, Compile Order Analysis + ========================================================= + +.. only:: latex + + .. rubric:: Dependency, Hierarchy, Compile Order Analysis + +* Enhanced analysis of cross references. +* Enhanced dependency graphs: + + * Hierarchy graph and toplevel detection + * Compile order computation + +* Transformation from single module to >15 modules. +* Improved code coverage and test cases. + +.. only:: html + + Dec. 2022 - Added Documentation Property + ======================================== + +.. only:: latex + + .. rubric:: Added Documentation Property + +* `GHDL's `__ is now able to collect and associate (documentation) comments to language + constructs. This enhancement adds a ``Documentation`` property to many classes similar to a *doc-string* in Python. +* New -style of symbols merging a ``Name`` and a ``Symbol`` class. +* Finding relations between packages and its bodies, entities and its architectures. +* References to libraries, packages and contexts. +* Dependency graph for packages, contexts, and entities. + + * Package graph. + * Hierarchy graph. + * Compile order. + + +.. only:: html + + Jul. 2021 - First adoption and enhancements + =========================================== + +.. only:: latex + + .. rubric:: First adoption and enhancements + +* `GHDL's `__ is the first big adopter with `pyGHDL.dom `__ + to generate a network of instantiated classes derived from ``pyVHDLModel``. |br| + It uses `pyGHDL `__ as a backend (GHDL build as shared object and + loaded into CPython via C-binding API (``ctypes``). + + +.. only:: html + + Jun. 2021 - Model and documentation enhancements + ================================================ + +.. only:: latex + + .. rubric:: Model and documentation enhancements + +* Made generic, port, and parameter items a subclass of the matching object classes. +* Added missing object representations for language features. + + * Finalized literals, expressions and types. + * Added properties to empty placeholder classes. + +* Corrected class hierarchy according to LRM. +* Enhanced class documentation and cross references. +* New documentation chapter for literals and expressions. +* Added inheritance diagrams as overviews to documentation sections. +* Added condensed code snippets outlining the main interface of a model's object. +* New Single-File GitHub Action workflow (pipeline) including tests, documentation, packaging and publishing. +* Added Dependabot configuration file. +* Removed 2 dependencies to patched Sphinx extensions (now fixed in Sphinx). +* ... + +.. only:: html + + Jan. 2021 - Documentation enhancements + ====================================== + +.. only:: latex + + .. rubric:: Documentation enhancements + +* Enhanced class documentation. +* Changed test runner to ``pytest``. +* Dependency check and license clearance. |br| + See :ref:`dependency` for details. + + +.. only:: html + + Dec. 2020 - Split from pyVHDLParser + =================================== + +.. only:: latex + + .. rubric:: Split from pyVHDLParser + +* `pyVHDLModel` was split from `pyVHDLParser `__ (v0.6.0) as an independent Python package. + + +.. _CONTRIBUTORS: + +Contributors +************ + +* `Patrick Lehmann `__ (Maintainer) +* `Unai Martinez-Corral `__ +* `and more... `__ + + +.. _LICENSE: + +License +******* + +.. only:: html + + This Python package (source code) is licensed under `Apache License 2.0 `__. |br| + The accompanying documentation is licensed under `Creative Commons - Attribution 4.0 (CC-BY 4.0) `__. + +.. only:: latex + + This Python package (source code) is licensed under **Apache License 2.0**. |br| + The accompanying documentation is licensed under **Creative Commons - Attribution 4.0 (CC-BY 4.0)**. + + +.. only:: html + + This document was generated on |docdate|. + + +.. toctree:: + :hidden: + + Used as a layer of EDA² ➚ + + +.. toctree:: + :caption: Introduction + :hidden: + + GettingStarted + Installation + Dependency + + +.. raw:: latex + + \part{Main Documentation} + +.. toctree:: + :caption: Main Documentation + :hidden: + + LanguageModel/index + Analyze/index + DataStructure/index + + +.. raw:: latex + + \part{References and Reports} + +.. toctree:: + :caption: References and Reports + :hidden: + + Python Class Reference + unittests/index + coverage/index + Doc. Coverage Report + Static Type Check Report ➚ + + +.. raw:: latex + + \part{Appendix} + +.. toctree:: + :caption: Appendix + :hidden: + + ChangeLog/index + License + Doc-License + Glossary + genindex + Python Module Index + TODO diff --git a/_sources/pyVHDLModel/pyVHDLModel.Association.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Association.rst.txt new file mode 100644 index 000000000..614ee5605 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Association.rst.txt @@ -0,0 +1,80 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +======================= +pyVHDLModel.Association +======================= + +.. automodule:: pyVHDLModel.Association + + +.. currentmodule:: pyVHDLModel.Association + + +**Classes** + +- :py:class:`AssociationItem`: + A base-class for all association items. + +- :py:class:`GenericAssociationItem`: + A base-class for all generic association items used in generic map aspects. + +- :py:class:`PortAssociationItem`: + A base-class for all port association items used in port map aspects. + +- :py:class:`ParameterAssociationItem`: + A base-class for all parameter association items used in parameter map aspects. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: AssociationItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AssociationItem + :parts: 1 + +.. autoclass:: GenericAssociationItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericAssociationItem + :parts: 1 + +.. autoclass:: PortAssociationItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PortAssociationItem + :parts: 1 + +.. autoclass:: ParameterAssociationItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ParameterAssociationItem + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Base.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Base.rst.txt new file mode 100644 index 000000000..3de10235c --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Base.rst.txt @@ -0,0 +1,311 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +================ +pyVHDLModel.Base +================ + +.. automodule:: pyVHDLModel.Base + + +.. currentmodule:: pyVHDLModel.Base + + +**Variables** + +- :py:data:`ExpressionUnion` + + + +**Classes** + +- :py:class:`Direction`: + An enumeration representing a direction in a range (``to`` or ``downto``). + +- :py:class:`Mode`: + A ``Mode`` is an enumeration. It represents the direction of data exchange (``in``, ``out``, ...) for objects in + +- :py:class:`ModelEntity`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`NamedEntityMixin`: + A ``NamedEntityMixin`` is a mixin class for all VHDL entities that have identifiers. + +- :py:class:`MultipleNamedEntityMixin`: + A ``MultipleNamedEntityMixin`` is a mixin class for all VHDL entities that declare multiple instances at once by + +- :py:class:`LabeledEntityMixin`: + A ``LabeledEntityMixin`` is a mixin class for all VHDL entities that can have labels. + +- :py:class:`DocumentedEntityMixin`: + A ``DocumentedEntityMixin`` is a mixin class for all VHDL entities that can have an associated documentation. + +- :py:class:`ConditionalMixin`: + A ``ConditionalMixin`` is a mixin-class for all statements with a condition. + +- :py:class:`BranchMixin`: + A ``BranchMixin`` is a mixin-class for all statements with branches. + +- :py:class:`ConditionalBranchMixin`: + A ``BaseBranch`` is a mixin-class for all branch statements with a condition. + +- :py:class:`IfBranchMixin`: + A ``BaseIfBranch`` is a mixin-class for all if-branches. + +- :py:class:`ElsifBranchMixin`: + A ``BaseElsifBranch`` is a mixin-class for all elsif-branches. + +- :py:class:`ElseBranchMixin`: + A ``BaseElseBranch`` is a mixin-class for all else-branches. + +- :py:class:`ReportStatementMixin`: + A ``MixinReportStatement`` is a mixin-class for all report and assert statements. + +- :py:class:`AssertStatementMixin`: + A ``MixinAssertStatement`` is a mixin-class for all assert statements. + +- :py:class:`BaseChoice`: + A ``Choice`` is a base-class for all choices. + +- :py:class:`BaseCase`: + A ``Case`` is a base-class for all cases. + +- :py:class:`Range`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`WaveformElement`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + + + +--------------------- + +**Variables** + + + + +.. autodata:: ExpressionUnion + :annotation: + + .. code-block:: text + + typing.Union[ForwardRef('BaseExpression'), ForwardRef('QualifiedExpression'), ForwardRef('FunctionCall'), ForwardRef('TypeConversion'), ForwardRef('Literal')] + + +--------------------- + +**Classes** + + + + +.. autoclass:: Direction + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Direction + :parts: 1 + +.. autoclass:: Mode + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Mode + :parts: 1 + +.. autoclass:: ModelEntity + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ModelEntity + :parts: 1 + +.. autoclass:: NamedEntityMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NamedEntityMixin + :parts: 1 + +.. autoclass:: MultipleNamedEntityMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MultipleNamedEntityMixin + :parts: 1 + +.. autoclass:: LabeledEntityMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: LabeledEntityMixin + :parts: 1 + +.. autoclass:: DocumentedEntityMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DocumentedEntityMixin + :parts: 1 + +.. autoclass:: ConditionalMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConditionalMixin + :parts: 1 + +.. autoclass:: BranchMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: BranchMixin + :parts: 1 + +.. autoclass:: ConditionalBranchMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConditionalBranchMixin + :parts: 1 + +.. autoclass:: IfBranchMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IfBranchMixin + :parts: 1 + +.. autoclass:: ElsifBranchMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ElsifBranchMixin + :parts: 1 + +.. autoclass:: ElseBranchMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ElseBranchMixin + :parts: 1 + +.. autoclass:: ReportStatementMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ReportStatementMixin + :parts: 1 + +.. autoclass:: AssertStatementMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AssertStatementMixin + :parts: 1 + +.. autoclass:: BaseChoice + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: BaseChoice + :parts: 1 + +.. autoclass:: BaseCase + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: BaseCase + :parts: 1 + +.. autoclass:: Range + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Range + :parts: 1 + +.. autoclass:: WaveformElement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: WaveformElement + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Common.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Common.rst.txt new file mode 100644 index 000000000..f00553039 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Common.rst.txt @@ -0,0 +1,94 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +================== +pyVHDLModel.Common +================== + +.. automodule:: pyVHDLModel.Common + + +.. currentmodule:: pyVHDLModel.Common + + +**Classes** + +- :py:class:`Statement`: + A ``Statement`` is a base-class for all statements. + +- :py:class:`ProcedureCallMixin`: + Undocumented. + +- :py:class:`AssignmentMixin`: + A mixin-class for all assignment statements. + +- :py:class:`SignalAssignmentMixin`: + A mixin-class for all signal assignment statements. + +- :py:class:`VariableAssignmentMixin`: + A mixin-class for all variable assignment statements. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: Statement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Statement + :parts: 1 + +.. autoclass:: ProcedureCallMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ProcedureCallMixin + :parts: 1 + +.. autoclass:: AssignmentMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AssignmentMixin + :parts: 1 + +.. autoclass:: SignalAssignmentMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SignalAssignmentMixin + :parts: 1 + +.. autoclass:: VariableAssignmentMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: VariableAssignmentMixin + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Concurrent.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Concurrent.rst.txt new file mode 100644 index 000000000..e37c39271 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Concurrent.rst.txt @@ -0,0 +1,416 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +====================== +pyVHDLModel.Concurrent +====================== + +.. automodule:: pyVHDLModel.Concurrent + + +.. currentmodule:: pyVHDLModel.Concurrent + + +**Classes** + +- :py:class:`ConcurrentStatement`: + A base-class for all concurrent statements. + +- :py:class:`ConcurrentStatementsMixin`: + A mixin-class for all language constructs supporting concurrent statements. + +- :py:class:`Instantiation`: + A base-class for all (component) instantiations. + +- :py:class:`ComponentInstantiation`: + Represents a component instantiation by referring to a component name. + +- :py:class:`EntityInstantiation`: + Represents an entity instantiation by referring to an entity name with optional architecture name. + +- :py:class:`ConfigurationInstantiation`: + Represents a configuration instantiation by referring to a configuration name. + +- :py:class:`ProcessStatement`: + Represents a process statement with sensitivity list, sequential declaration region and sequential statements. + +- :py:class:`ConcurrentProcedureCall`: + A base-class for all concurrent statements. + +- :py:class:`ConcurrentBlockStatement`: + A base-class for all concurrent statements. + +- :py:class:`GenerateBranch`: + A base-class for all branches in a generate statements. + +- :py:class:`IfGenerateBranch`: + Represents if-generate branch in a generate statement with a concurrent declaration region and concurrent statements. + +- :py:class:`ElsifGenerateBranch`: + Represents elsif-generate branch in a generate statement with a concurrent declaration region and concurrent statements. + +- :py:class:`ElseGenerateBranch`: + Represents else-generate branch in a generate statement with a concurrent declaration region and concurrent statements. + +- :py:class:`GenerateStatement`: + A base-class for all generate statements. + +- :py:class:`IfGenerateStatement`: + Represents an if...generate statement. + +- :py:class:`ConcurrentChoice`: + A base-class for all concurrent choices (in case...generate statements). + +- :py:class:`IndexedGenerateChoice`: + A base-class for all concurrent choices (in case...generate statements). + +- :py:class:`RangedGenerateChoice`: + A base-class for all concurrent choices (in case...generate statements). + +- :py:class:`ConcurrentCase`: + A ``Case`` is a base-class for all cases. + +- :py:class:`GenerateCase`: + A ``Case`` is a base-class for all cases. + +- :py:class:`OthersGenerateCase`: + A ``Case`` is a base-class for all cases. + +- :py:class:`CaseGenerateStatement`: + Represents a case...generate statement. + +- :py:class:`ForGenerateStatement`: + Represents a for...generate statement. + +- :py:class:`ConcurrentSignalAssignment`: + A base-class for concurrent signal assignments. + +- :py:class:`ConcurrentSimpleSignalAssignment`: + A base-class for concurrent signal assignments. + +- :py:class:`ConcurrentSelectedSignalAssignment`: + A base-class for concurrent signal assignments. + +- :py:class:`ConcurrentConditionalSignalAssignment`: + A base-class for concurrent signal assignments. + +- :py:class:`ConcurrentAssertStatement`: + A base-class for all concurrent statements. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: ConcurrentStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentStatement + :parts: 1 + +.. autoclass:: ConcurrentStatementsMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentStatementsMixin + :parts: 1 + +.. autoclass:: Instantiation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Instantiation + :parts: 1 + +.. autoclass:: ComponentInstantiation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ComponentInstantiation + :parts: 1 + +.. autoclass:: EntityInstantiation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: EntityInstantiation + :parts: 1 + +.. autoclass:: ConfigurationInstantiation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConfigurationInstantiation + :parts: 1 + +.. autoclass:: ProcessStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ProcessStatement + :parts: 1 + +.. autoclass:: ConcurrentProcedureCall + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentProcedureCall + :parts: 1 + +.. autoclass:: ConcurrentBlockStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentBlockStatement + :parts: 1 + +.. autoclass:: GenerateBranch + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenerateBranch + :parts: 1 + +.. autoclass:: IfGenerateBranch + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IfGenerateBranch + :parts: 1 + +.. autoclass:: ElsifGenerateBranch + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ElsifGenerateBranch + :parts: 1 + +.. autoclass:: ElseGenerateBranch + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ElseGenerateBranch + :parts: 1 + +.. autoclass:: GenerateStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenerateStatement + :parts: 1 + +.. autoclass:: IfGenerateStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IfGenerateStatement + :parts: 1 + +.. autoclass:: ConcurrentChoice + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentChoice + :parts: 1 + +.. autoclass:: IndexedGenerateChoice + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IndexedGenerateChoice + :parts: 1 + +.. autoclass:: RangedGenerateChoice + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RangedGenerateChoice + :parts: 1 + +.. autoclass:: ConcurrentCase + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentCase + :parts: 1 + +.. autoclass:: GenerateCase + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenerateCase + :parts: 1 + +.. autoclass:: OthersGenerateCase + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: OthersGenerateCase + :parts: 1 + +.. autoclass:: CaseGenerateStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: CaseGenerateStatement + :parts: 1 + +.. autoclass:: ForGenerateStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ForGenerateStatement + :parts: 1 + +.. autoclass:: ConcurrentSignalAssignment + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentSignalAssignment + :parts: 1 + +.. autoclass:: ConcurrentSimpleSignalAssignment + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentSimpleSignalAssignment + :parts: 1 + +.. autoclass:: ConcurrentSelectedSignalAssignment + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentSelectedSignalAssignment + :parts: 1 + +.. autoclass:: ConcurrentConditionalSignalAssignment + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentConditionalSignalAssignment + :parts: 1 + +.. autoclass:: ConcurrentAssertStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentAssertStatement + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Declaration.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Declaration.rst.txt new file mode 100644 index 000000000..6d1e2367f --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Declaration.rst.txt @@ -0,0 +1,80 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +======================= +pyVHDLModel.Declaration +======================= + +.. automodule:: pyVHDLModel.Declaration + + +.. currentmodule:: pyVHDLModel.Declaration + + +**Classes** + +- :py:class:`EntityClass`: + An ``EntityClass`` is an enumeration. It represents a VHDL language entity class (``entity``, ``label``, ...). + +- :py:class:`Attribute`: + Represents an attribute declaration. + +- :py:class:`AttributeSpecification`: + Represents an attribute specification. + +- :py:class:`Alias`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + + + +--------------------- + +**Classes** + + + + +.. autoclass:: EntityClass + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: EntityClass + :parts: 1 + +.. autoclass:: Attribute + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Attribute + :parts: 1 + +.. autoclass:: AttributeSpecification + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AttributeSpecification + :parts: 1 + +.. autoclass:: Alias + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Alias + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.DesignUnit.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.DesignUnit.rst.txt new file mode 100644 index 000000000..51e14cd40 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.DesignUnit.rst.txt @@ -0,0 +1,234 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +====================== +pyVHDLModel.DesignUnit +====================== + +.. automodule:: pyVHDLModel.DesignUnit + + +.. currentmodule:: pyVHDLModel.DesignUnit + + +**Classes** + +- :py:class:`Reference`: + A base-class for all references. + +- :py:class:`LibraryClause`: + Represents a library clause. + +- :py:class:`UseClause`: + Represents a use clause. + +- :py:class:`ContextReference`: + Represents a context reference. + +- :py:class:`DesignUnitWithContextMixin`: + A mixin-class for all design units with a context. + +- :py:class:`DesignUnit`: + A base-class for all design units. + +- :py:class:`PrimaryUnit`: + A base-class for all primary design units. + +- :py:class:`SecondaryUnit`: + A base-class for all secondary design units. + +- :py:class:`Context`: + Represents a context declaration. + +- :py:class:`Package`: + Represents a package declaration. + +- :py:class:`PackageBody`: + Represents a package body declaration. + +- :py:class:`Entity`: + Represents an entity declaration. + +- :py:class:`Architecture`: + Represents an architecture declaration. + +- :py:class:`Component`: + Represents a configuration declaration. + +- :py:class:`Configuration`: + Represents a configuration declaration. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: Reference + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Reference + :parts: 1 + +.. autoclass:: LibraryClause + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: LibraryClause + :parts: 1 + +.. autoclass:: UseClause + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UseClause + :parts: 1 + +.. autoclass:: ContextReference + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ContextReference + :parts: 1 + +.. autoclass:: DesignUnitWithContextMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DesignUnitWithContextMixin + :parts: 1 + +.. autoclass:: DesignUnit + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DesignUnit + :parts: 1 + +.. autoclass:: PrimaryUnit + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PrimaryUnit + :parts: 1 + +.. autoclass:: SecondaryUnit + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SecondaryUnit + :parts: 1 + +.. autoclass:: Context + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Context + :parts: 1 + +.. autoclass:: Package + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Package + :parts: 1 + +.. autoclass:: PackageBody + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PackageBody + :parts: 1 + +.. autoclass:: Entity + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Entity + :parts: 1 + +.. autoclass:: Architecture + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Architecture + :parts: 1 + +.. autoclass:: Component + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Component + :parts: 1 + +.. autoclass:: Configuration + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Configuration + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Exception.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Exception.rst.txt new file mode 100644 index 000000000..184109325 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Exception.rst.txt @@ -0,0 +1,123 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +===================== +pyVHDLModel.Exception +===================== + +.. automodule:: pyVHDLModel.Exception + + +.. currentmodule:: pyVHDLModel.Exception + + +**Exceptions** + +- :py:exc:`VHDLModelException`: + Base-class for all exceptions (errors) raised by pyVHDLModel. + +- :py:exc:`LibraryExistsInDesignError`: + This exception is raised, when the library is already existing in the design. + +- :py:exc:`LibraryRegisteredToForeignDesignError`: + This exception is raised, when the library is already registered to a foreign design. + +- :py:exc:`LibraryNotRegisteredError`: + This exception is raised, when the library is not registered in the design. + +- :py:exc:`EntityExistsInLibraryError`: + This exception is raised, when the entity already existing in the library. + +- :py:exc:`ArchitectureExistsInLibraryError`: + This exception is raised, when the architecture already existing in the library. + +- :py:exc:`PackageExistsInLibraryError`: + This exception is raised, when the package already existing in the library. + +- :py:exc:`PackageBodyExistsError`: + This exception is raised, when the package body already existing in the library. + +- :py:exc:`ConfigurationExistsInLibraryError`: + This exception is raised, when the configuration already existing in the library. + +- :py:exc:`ContextExistsInLibraryError`: + This exception is raised, when the context already existing in the library. + +- :py:exc:`ReferencedLibraryNotExistingError`: + This exception is raised, when a library is referenced by a `library clause`, but doesn't exist in the design. + + + +--------------------- + +**Exceptions** + + + + +.. autoexception:: VHDLModelException + + .. rubric:: Inheritance + .. inheritance-diagram:: VHDLModelException + :parts: 1 + +.. autoexception:: LibraryExistsInDesignError + + .. rubric:: Inheritance + .. inheritance-diagram:: LibraryExistsInDesignError + :parts: 1 + +.. autoexception:: LibraryRegisteredToForeignDesignError + + .. rubric:: Inheritance + .. inheritance-diagram:: LibraryRegisteredToForeignDesignError + :parts: 1 + +.. autoexception:: LibraryNotRegisteredError + + .. rubric:: Inheritance + .. inheritance-diagram:: LibraryNotRegisteredError + :parts: 1 + +.. autoexception:: EntityExistsInLibraryError + + .. rubric:: Inheritance + .. inheritance-diagram:: EntityExistsInLibraryError + :parts: 1 + +.. autoexception:: ArchitectureExistsInLibraryError + + .. rubric:: Inheritance + .. inheritance-diagram:: ArchitectureExistsInLibraryError + :parts: 1 + +.. autoexception:: PackageExistsInLibraryError + + .. rubric:: Inheritance + .. inheritance-diagram:: PackageExistsInLibraryError + :parts: 1 + +.. autoexception:: PackageBodyExistsError + + .. rubric:: Inheritance + .. inheritance-diagram:: PackageBodyExistsError + :parts: 1 + +.. autoexception:: ConfigurationExistsInLibraryError + + .. rubric:: Inheritance + .. inheritance-diagram:: ConfigurationExistsInLibraryError + :parts: 1 + +.. autoexception:: ContextExistsInLibraryError + + .. rubric:: Inheritance + .. inheritance-diagram:: ContextExistsInLibraryError + :parts: 1 + +.. autoexception:: ReferencedLibraryNotExistingError + + .. rubric:: Inheritance + .. inheritance-diagram:: ReferencedLibraryNotExistingError + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Expression.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Expression.rst.txt new file mode 100644 index 000000000..79d00c04f --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Expression.rst.txt @@ -0,0 +1,1228 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +====================== +pyVHDLModel.Expression +====================== + +.. automodule:: pyVHDLModel.Expression + + +.. currentmodule:: pyVHDLModel.Expression + + +**Classes** + +- :py:class:`BaseExpression`: + A ``BaseExpression`` is a base-class for all expressions. + +- :py:class:`Literal`: + A ``Literal`` is a base-class for all literals. + +- :py:class:`NullLiteral`: + A ``Literal`` is a base-class for all literals. + +- :py:class:`EnumerationLiteral`: + A ``Literal`` is a base-class for all literals. + +- :py:class:`NumericLiteral`: + A ``NumericLiteral`` is a base-class for all numeric literals. + +- :py:class:`IntegerLiteral`: + A ``NumericLiteral`` is a base-class for all numeric literals. + +- :py:class:`FloatingPointLiteral`: + A ``NumericLiteral`` is a base-class for all numeric literals. + +- :py:class:`PhysicalLiteral`: + A ``NumericLiteral`` is a base-class for all numeric literals. + +- :py:class:`PhysicalIntegerLiteral`: + A ``NumericLiteral`` is a base-class for all numeric literals. + +- :py:class:`PhysicalFloatingLiteral`: + A ``NumericLiteral`` is a base-class for all numeric literals. + +- :py:class:`CharacterLiteral`: + A ``Literal`` is a base-class for all literals. + +- :py:class:`StringLiteral`: + A ``Literal`` is a base-class for all literals. + +- :py:class:`BitStringLiteral`: + A ``Literal`` is a base-class for all literals. + +- :py:class:`ParenthesisExpression`: + Undocumented. + +- :py:class:`UnaryExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`NegationExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`IdentityExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`InverseExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`UnaryAndExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`UnaryNandExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`UnaryOrExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`UnaryNorExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`UnaryXorExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`UnaryXnorExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`AbsoluteExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`TypeConversion`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`SubExpression`: + A ``UnaryExpression`` is a base-class for all unary expressions. + +- :py:class:`BinaryExpression`: + A ``BinaryExpression`` is a base-class for all binary expressions. + +- :py:class:`RangeExpression`: + A ``BinaryExpression`` is a base-class for all binary expressions. + +- :py:class:`AscendingRangeExpression`: + A ``BinaryExpression`` is a base-class for all binary expressions. + +- :py:class:`DescendingRangeExpression`: + A ``BinaryExpression`` is a base-class for all binary expressions. + +- :py:class:`AddingExpression`: + A ``AddingExpression`` is a base-class for all adding expressions. + +- :py:class:`AdditionExpression`: + A ``AddingExpression`` is a base-class for all adding expressions. + +- :py:class:`SubtractionExpression`: + A ``AddingExpression`` is a base-class for all adding expressions. + +- :py:class:`ConcatenationExpression`: + A ``AddingExpression`` is a base-class for all adding expressions. + +- :py:class:`MultiplyingExpression`: + A ``MultiplyingExpression`` is a base-class for all multiplying expressions. + +- :py:class:`MultiplyExpression`: + A ``MultiplyingExpression`` is a base-class for all multiplying expressions. + +- :py:class:`DivisionExpression`: + A ``MultiplyingExpression`` is a base-class for all multiplying expressions. + +- :py:class:`RemainderExpression`: + A ``MultiplyingExpression`` is a base-class for all multiplying expressions. + +- :py:class:`ModuloExpression`: + A ``MultiplyingExpression`` is a base-class for all multiplying expressions. + +- :py:class:`ExponentiationExpression`: + A ``MultiplyingExpression`` is a base-class for all multiplying expressions. + +- :py:class:`LogicalExpression`: + A ``LogicalExpression`` is a base-class for all logical expressions. + +- :py:class:`AndExpression`: + A ``LogicalExpression`` is a base-class for all logical expressions. + +- :py:class:`NandExpression`: + A ``LogicalExpression`` is a base-class for all logical expressions. + +- :py:class:`OrExpression`: + A ``LogicalExpression`` is a base-class for all logical expressions. + +- :py:class:`NorExpression`: + A ``LogicalExpression`` is a base-class for all logical expressions. + +- :py:class:`XorExpression`: + A ``LogicalExpression`` is a base-class for all logical expressions. + +- :py:class:`XnorExpression`: + A ``LogicalExpression`` is a base-class for all logical expressions. + +- :py:class:`RelationalExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`EqualExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`UnequalExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`GreaterThanExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`GreaterEqualExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`LessThanExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`LessEqualExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`MatchingRelationalExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`MatchingEqualExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`MatchingUnequalExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`MatchingGreaterThanExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`MatchingGreaterEqualExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`MatchingLessThanExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`MatchingLessEqualExpression`: + A ``RelationalExpression`` is a base-class for all shifting expressions. + +- :py:class:`ShiftExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`ShiftLogicExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`ShiftArithmeticExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`RotateExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`ShiftRightLogicExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`ShiftLeftLogicExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`ShiftRightArithmeticExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`ShiftLeftArithmeticExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`RotateRightExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`RotateLeftExpression`: + A ``ShiftExpression`` is a base-class for all shifting expressions. + +- :py:class:`QualifiedExpression`: + A ``BaseExpression`` is a base-class for all expressions. + +- :py:class:`TernaryExpression`: + A ``TernaryExpression`` is a base-class for all ternary expressions. + +- :py:class:`WhenElseExpression`: + A ``TernaryExpression`` is a base-class for all ternary expressions. + +- :py:class:`FunctionCall`: + A ``BaseExpression`` is a base-class for all expressions. + +- :py:class:`Allocation`: + A ``BaseExpression`` is a base-class for all expressions. + +- :py:class:`SubtypeAllocation`: + A ``BaseExpression`` is a base-class for all expressions. + +- :py:class:`QualifiedExpressionAllocation`: + A ``BaseExpression`` is a base-class for all expressions. + +- :py:class:`AggregateElement`: + A ``AggregateElement`` is a base-class for all aggregate elements. + +- :py:class:`SimpleAggregateElement`: + A ``AggregateElement`` is a base-class for all aggregate elements. + +- :py:class:`IndexedAggregateElement`: + A ``AggregateElement`` is a base-class for all aggregate elements. + +- :py:class:`RangedAggregateElement`: + A ``AggregateElement`` is a base-class for all aggregate elements. + +- :py:class:`NamedAggregateElement`: + A ``AggregateElement`` is a base-class for all aggregate elements. + +- :py:class:`OthersAggregateElement`: + A ``AggregateElement`` is a base-class for all aggregate elements. + +- :py:class:`Aggregate`: + A ``BaseExpression`` is a base-class for all expressions. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: BaseExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: BaseExpression + :parts: 1 + +.. autoclass:: Literal + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Literal + :parts: 1 + +.. autoclass:: NullLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NullLiteral + :parts: 1 + +.. autoclass:: EnumerationLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: EnumerationLiteral + :parts: 1 + +.. autoclass:: NumericLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NumericLiteral + :parts: 1 + +.. autoclass:: IntegerLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IntegerLiteral + :parts: 1 + +.. autoclass:: FloatingPointLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: FloatingPointLiteral + :parts: 1 + +.. autoclass:: PhysicalLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PhysicalLiteral + :parts: 1 + +.. autoclass:: PhysicalIntegerLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PhysicalIntegerLiteral + :parts: 1 + +.. autoclass:: PhysicalFloatingLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PhysicalFloatingLiteral + :parts: 1 + +.. autoclass:: CharacterLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: CharacterLiteral + :parts: 1 + +.. autoclass:: StringLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: StringLiteral + :parts: 1 + +.. autoclass:: BitStringLiteral + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: BitStringLiteral + :parts: 1 + +.. autoclass:: ParenthesisExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ParenthesisExpression + :parts: 1 + +.. autoclass:: UnaryExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UnaryExpression + :parts: 1 + +.. autoclass:: NegationExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NegationExpression + :parts: 1 + +.. autoclass:: IdentityExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IdentityExpression + :parts: 1 + +.. autoclass:: InverseExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: InverseExpression + :parts: 1 + +.. autoclass:: UnaryAndExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UnaryAndExpression + :parts: 1 + +.. autoclass:: UnaryNandExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UnaryNandExpression + :parts: 1 + +.. autoclass:: UnaryOrExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UnaryOrExpression + :parts: 1 + +.. autoclass:: UnaryNorExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UnaryNorExpression + :parts: 1 + +.. autoclass:: UnaryXorExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UnaryXorExpression + :parts: 1 + +.. autoclass:: UnaryXnorExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UnaryXnorExpression + :parts: 1 + +.. autoclass:: AbsoluteExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AbsoluteExpression + :parts: 1 + +.. autoclass:: TypeConversion + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: TypeConversion + :parts: 1 + +.. autoclass:: SubExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SubExpression + :parts: 1 + +.. autoclass:: BinaryExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: BinaryExpression + :parts: 1 + +.. autoclass:: RangeExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RangeExpression + :parts: 1 + +.. autoclass:: AscendingRangeExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AscendingRangeExpression + :parts: 1 + +.. autoclass:: DescendingRangeExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DescendingRangeExpression + :parts: 1 + +.. autoclass:: AddingExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AddingExpression + :parts: 1 + +.. autoclass:: AdditionExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AdditionExpression + :parts: 1 + +.. autoclass:: SubtractionExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SubtractionExpression + :parts: 1 + +.. autoclass:: ConcatenationExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcatenationExpression + :parts: 1 + +.. autoclass:: MultiplyingExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MultiplyingExpression + :parts: 1 + +.. autoclass:: MultiplyExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MultiplyExpression + :parts: 1 + +.. autoclass:: DivisionExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DivisionExpression + :parts: 1 + +.. autoclass:: RemainderExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RemainderExpression + :parts: 1 + +.. autoclass:: ModuloExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ModuloExpression + :parts: 1 + +.. autoclass:: ExponentiationExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ExponentiationExpression + :parts: 1 + +.. autoclass:: LogicalExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: LogicalExpression + :parts: 1 + +.. autoclass:: AndExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AndExpression + :parts: 1 + +.. autoclass:: NandExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NandExpression + :parts: 1 + +.. autoclass:: OrExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: OrExpression + :parts: 1 + +.. autoclass:: NorExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NorExpression + :parts: 1 + +.. autoclass:: XorExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: XorExpression + :parts: 1 + +.. autoclass:: XnorExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: XnorExpression + :parts: 1 + +.. autoclass:: RelationalExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RelationalExpression + :parts: 1 + +.. autoclass:: EqualExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: EqualExpression + :parts: 1 + +.. autoclass:: UnequalExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: UnequalExpression + :parts: 1 + +.. autoclass:: GreaterThanExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GreaterThanExpression + :parts: 1 + +.. autoclass:: GreaterEqualExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GreaterEqualExpression + :parts: 1 + +.. autoclass:: LessThanExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: LessThanExpression + :parts: 1 + +.. autoclass:: LessEqualExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: LessEqualExpression + :parts: 1 + +.. autoclass:: MatchingRelationalExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MatchingRelationalExpression + :parts: 1 + +.. autoclass:: MatchingEqualExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MatchingEqualExpression + :parts: 1 + +.. autoclass:: MatchingUnequalExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MatchingUnequalExpression + :parts: 1 + +.. autoclass:: MatchingGreaterThanExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MatchingGreaterThanExpression + :parts: 1 + +.. autoclass:: MatchingGreaterEqualExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MatchingGreaterEqualExpression + :parts: 1 + +.. autoclass:: MatchingLessThanExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MatchingLessThanExpression + :parts: 1 + +.. autoclass:: MatchingLessEqualExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MatchingLessEqualExpression + :parts: 1 + +.. autoclass:: ShiftExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ShiftExpression + :parts: 1 + +.. autoclass:: ShiftLogicExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ShiftLogicExpression + :parts: 1 + +.. autoclass:: ShiftArithmeticExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ShiftArithmeticExpression + :parts: 1 + +.. autoclass:: RotateExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RotateExpression + :parts: 1 + +.. autoclass:: ShiftRightLogicExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ShiftRightLogicExpression + :parts: 1 + +.. autoclass:: ShiftLeftLogicExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ShiftLeftLogicExpression + :parts: 1 + +.. autoclass:: ShiftRightArithmeticExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ShiftRightArithmeticExpression + :parts: 1 + +.. autoclass:: ShiftLeftArithmeticExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ShiftLeftArithmeticExpression + :parts: 1 + +.. autoclass:: RotateRightExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RotateRightExpression + :parts: 1 + +.. autoclass:: RotateLeftExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RotateLeftExpression + :parts: 1 + +.. autoclass:: QualifiedExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: QualifiedExpression + :parts: 1 + +.. autoclass:: TernaryExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: TernaryExpression + :parts: 1 + +.. autoclass:: WhenElseExpression + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: WhenElseExpression + :parts: 1 + +.. autoclass:: FunctionCall + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: FunctionCall + :parts: 1 + +.. autoclass:: Allocation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Allocation + :parts: 1 + +.. autoclass:: SubtypeAllocation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SubtypeAllocation + :parts: 1 + +.. autoclass:: QualifiedExpressionAllocation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: QualifiedExpressionAllocation + :parts: 1 + +.. autoclass:: AggregateElement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AggregateElement + :parts: 1 + +.. autoclass:: SimpleAggregateElement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SimpleAggregateElement + :parts: 1 + +.. autoclass:: IndexedAggregateElement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IndexedAggregateElement + :parts: 1 + +.. autoclass:: RangedAggregateElement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RangedAggregateElement + :parts: 1 + +.. autoclass:: NamedAggregateElement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NamedAggregateElement + :parts: 1 + +.. autoclass:: OthersAggregateElement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: OthersAggregateElement + :parts: 1 + +.. autoclass:: Aggregate + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Aggregate + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.IEEE.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.IEEE.rst.txt new file mode 100644 index 000000000..0348abd80 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.IEEE.rst.txt @@ -0,0 +1,374 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +================ +pyVHDLModel.IEEE +================ + +.. automodule:: pyVHDLModel.IEEE + + +.. currentmodule:: pyVHDLModel.IEEE + + +**Classes** + +- :py:class:`Ieee`: + Predefined VHDL library ``ieee``. + +- :py:class:`Math_Real`: + Predefined package ``ieee.math_real``. + +- :py:class:`Math_Real_Body`: + Predefined package body of package ``ieee.math_real``. + +- :py:class:`Math_Complex`: + Predefined package ``ieee.math_complex``. + +- :py:class:`Math_Complex_Body`: + Predefined package body of package ``ieee.math_complex``. + +- :py:class:`Std_logic_1164`: + Predefined package ``ieee.std_logic_1164``. + +- :py:class:`Std_logic_1164_Body`: + Predefined package body of package ``ieee.std_logic_1164``. + +- :py:class:`std_logic_textio`: + Predefined package ``ieee.std_logic_textio``. + +- :py:class:`Std_logic_misc`: + Predefined package ``ieee.std_logic_misc``. + +- :py:class:`Std_logic_misc_Body`: + Predefined package body of package ``ieee.std_logic_misc``. + +- :py:class:`Numeric_Bit`: + Predefined package ``ieee.numeric_bit``. + +- :py:class:`Numeric_Bit_Body`: + Predefined package body of package ``ieee.numeric_bit``. + +- :py:class:`Numeric_Bit_Unsigned`: + Predefined package ``ieee.numeric_bit_unsigned``. + +- :py:class:`Numeric_Bit_Unsigned_Body`: + Predefined package body of package ``ieee.numeric_bit_unsigned``. + +- :py:class:`Numeric_Std`: + Predefined package ``ieee.numeric_std``. + +- :py:class:`Numeric_Std_Body`: + Predefined package body of package ``ieee.numeric_std``. + +- :py:class:`Numeric_Std_Unsigned`: + Predefined package ``ieee.numeric_std_unsigned``. + +- :py:class:`Numeric_Std_Unsigned_Body`: + Predefined package body of package ``ieee.numeric_std_unsigned``. + +- :py:class:`Fixed_Float_Types`: + Predefined package ``ieee.fixed_float_types``. + +- :py:class:`Fixed_Generic_Pkg`: + Predefined package ``ieee.fixed_generic_pkg``. + +- :py:class:`Fixed_Generic_Pkg_Body`: + Predefined package body of package ``ieee.fixed_generic_pkg``. + +- :py:class:`Fixed_Pkg`: + Predefined package ``ieee.fixed_pkg``. + +- :py:class:`Float_Generic_Pkg`: + Predefined package ``ieee.float_generic_pkg``. + +- :py:class:`Float_Generic_Pkg_Body`: + Predefined package body of package ``ieee.float_generic_pkg``. + +- :py:class:`Float_Pkg`: + Predefined package ``ieee.float_pkg``. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: Ieee + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Ieee + :parts: 1 + +.. autoclass:: Math_Real + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Math_Real + :parts: 1 + +.. autoclass:: Math_Real_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Math_Real_Body + :parts: 1 + +.. autoclass:: Math_Complex + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Math_Complex + :parts: 1 + +.. autoclass:: Math_Complex_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Math_Complex_Body + :parts: 1 + +.. autoclass:: Std_logic_1164 + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Std_logic_1164 + :parts: 1 + +.. autoclass:: Std_logic_1164_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Std_logic_1164_Body + :parts: 1 + +.. autoclass:: std_logic_textio + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: std_logic_textio + :parts: 1 + +.. autoclass:: Std_logic_misc + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Std_logic_misc + :parts: 1 + +.. autoclass:: Std_logic_misc_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Std_logic_misc_Body + :parts: 1 + +.. autoclass:: Numeric_Bit + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Numeric_Bit + :parts: 1 + +.. autoclass:: Numeric_Bit_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Numeric_Bit_Body + :parts: 1 + +.. autoclass:: Numeric_Bit_Unsigned + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Numeric_Bit_Unsigned + :parts: 1 + +.. autoclass:: Numeric_Bit_Unsigned_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Numeric_Bit_Unsigned_Body + :parts: 1 + +.. autoclass:: Numeric_Std + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Numeric_Std + :parts: 1 + +.. autoclass:: Numeric_Std_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Numeric_Std_Body + :parts: 1 + +.. autoclass:: Numeric_Std_Unsigned + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Numeric_Std_Unsigned + :parts: 1 + +.. autoclass:: Numeric_Std_Unsigned_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Numeric_Std_Unsigned_Body + :parts: 1 + +.. autoclass:: Fixed_Float_Types + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Fixed_Float_Types + :parts: 1 + +.. autoclass:: Fixed_Generic_Pkg + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Fixed_Generic_Pkg + :parts: 1 + +.. autoclass:: Fixed_Generic_Pkg_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Fixed_Generic_Pkg_Body + :parts: 1 + +.. autoclass:: Fixed_Pkg + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Fixed_Pkg + :parts: 1 + +.. autoclass:: Float_Generic_Pkg + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Float_Generic_Pkg + :parts: 1 + +.. autoclass:: Float_Generic_Pkg_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Float_Generic_Pkg_Body + :parts: 1 + +.. autoclass:: Float_Pkg + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Float_Pkg + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Instantiation.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Instantiation.rst.txt new file mode 100644 index 000000000..d653a79f6 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Instantiation.rst.txt @@ -0,0 +1,108 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +========================= +pyVHDLModel.Instantiation +========================= + +.. automodule:: pyVHDLModel.Instantiation + + +.. currentmodule:: pyVHDLModel.Instantiation + + +**Classes** + +- :py:class:`GenericInstantiationMixin`: + Undocumented. + +- :py:class:`GenericEntityInstantiationMixin`: + Undocumented. + +- :py:class:`SubprogramInstantiationMixin`: + Undocumented. + +- :py:class:`ProcedureInstantiation`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`FunctionInstantiation`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`PackageInstantiation`: + A base-class for all primary design units. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: GenericInstantiationMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericInstantiationMixin + :parts: 1 + +.. autoclass:: GenericEntityInstantiationMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericEntityInstantiationMixin + :parts: 1 + +.. autoclass:: SubprogramInstantiationMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SubprogramInstantiationMixin + :parts: 1 + +.. autoclass:: ProcedureInstantiation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ProcedureInstantiation + :parts: 1 + +.. autoclass:: FunctionInstantiation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: FunctionInstantiation + :parts: 1 + +.. autoclass:: PackageInstantiation + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PackageInstantiation + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Interface.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Interface.rst.txt new file mode 100644 index 000000000..36170295b --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Interface.rst.txt @@ -0,0 +1,248 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +===================== +pyVHDLModel.Interface +===================== + +.. automodule:: pyVHDLModel.Interface + + +.. currentmodule:: pyVHDLModel.Interface + + +**Classes** + +- :py:class:`InterfaceItemMixin`: + An ``InterfaceItem`` is a base-class for all mixin-classes for all interface items. + +- :py:class:`InterfaceItemWithModeMixin`: + An ``InterfaceItemWithMode`` is a mixin-class to provide a ``Mode`` to interface items. + +- :py:class:`GenericInterfaceItemMixin`: + A ``GenericInterfaceItem`` is a mixin class for all generic interface items. + +- :py:class:`PortInterfaceItemMixin`: + A ``PortInterfaceItem`` is a mixin class for all port interface items. + +- :py:class:`ParameterInterfaceItemMixin`: + A ``ParameterInterfaceItem`` is a mixin class for all parameter interface items. + +- :py:class:`GenericConstantInterfaceItem`: + Represents a constant. + +- :py:class:`GenericTypeInterfaceItem`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`GenericSubprogramInterfaceItem`: + A ``GenericInterfaceItem`` is a mixin class for all generic interface items. + +- :py:class:`GenericProcedureInterfaceItem`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`GenericFunctionInterfaceItem`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`GenericPackageInterfaceItem`: + A ``GenericInterfaceItem`` is a mixin class for all generic interface items. + +- :py:class:`PortSignalInterfaceItem`: + Represents a signal. + +- :py:class:`ParameterConstantInterfaceItem`: + Represents a constant. + +- :py:class:`ParameterVariableInterfaceItem`: + Represents a variable. + +- :py:class:`ParameterSignalInterfaceItem`: + Represents a signal. + +- :py:class:`ParameterFileInterfaceItem`: + Represents a file. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: InterfaceItemMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: InterfaceItemMixin + :parts: 1 + +.. autoclass:: InterfaceItemWithModeMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: InterfaceItemWithModeMixin + :parts: 1 + +.. autoclass:: GenericInterfaceItemMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericInterfaceItemMixin + :parts: 1 + +.. autoclass:: PortInterfaceItemMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PortInterfaceItemMixin + :parts: 1 + +.. autoclass:: ParameterInterfaceItemMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ParameterInterfaceItemMixin + :parts: 1 + +.. autoclass:: GenericConstantInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericConstantInterfaceItem + :parts: 1 + +.. autoclass:: GenericTypeInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericTypeInterfaceItem + :parts: 1 + +.. autoclass:: GenericSubprogramInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericSubprogramInterfaceItem + :parts: 1 + +.. autoclass:: GenericProcedureInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericProcedureInterfaceItem + :parts: 1 + +.. autoclass:: GenericFunctionInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericFunctionInterfaceItem + :parts: 1 + +.. autoclass:: GenericPackageInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: GenericPackageInterfaceItem + :parts: 1 + +.. autoclass:: PortSignalInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PortSignalInterfaceItem + :parts: 1 + +.. autoclass:: ParameterConstantInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ParameterConstantInterfaceItem + :parts: 1 + +.. autoclass:: ParameterVariableInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ParameterVariableInterfaceItem + :parts: 1 + +.. autoclass:: ParameterSignalInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ParameterSignalInterfaceItem + :parts: 1 + +.. autoclass:: ParameterFileInterfaceItem + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ParameterFileInterfaceItem + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Name.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Name.rst.txt new file mode 100644 index 000000000..a1d4481fc --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Name.rst.txt @@ -0,0 +1,150 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +================ +pyVHDLModel.Name +================ + +.. automodule:: pyVHDLModel.Name + + +.. currentmodule:: pyVHDLModel.Name + + +**Classes** + +- :py:class:`Name`: + ``Name`` is the base-class for all *names* in the VHDL language model. + +- :py:class:`SimpleName`: + A *simple name* is a name made from a single word. + +- :py:class:`ParenthesisName`: + ``Name`` is the base-class for all *names* in the VHDL language model. + +- :py:class:`IndexedName`: + ``Name`` is the base-class for all *names* in the VHDL language model. + +- :py:class:`SlicedName`: + ``Name`` is the base-class for all *names* in the VHDL language model. + +- :py:class:`SelectedName`: + A *selected name* is a name made from multiple words separated by a dot (``.``). + +- :py:class:`AttributeName`: + ``Name`` is the base-class for all *names* in the VHDL language model. + +- :py:class:`AllName`: + The *all name* represents the reserved word ``all`` used in names. + +- :py:class:`OpenName`: + The *open name* represents the reserved word ``open``. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: Name + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Name + :parts: 1 + +.. autoclass:: SimpleName + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SimpleName + :parts: 1 + +.. autoclass:: ParenthesisName + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ParenthesisName + :parts: 1 + +.. autoclass:: IndexedName + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IndexedName + :parts: 1 + +.. autoclass:: SlicedName + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SlicedName + :parts: 1 + +.. autoclass:: SelectedName + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SelectedName + :parts: 1 + +.. autoclass:: AttributeName + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AttributeName + :parts: 1 + +.. autoclass:: AllName + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AllName + :parts: 1 + +.. autoclass:: OpenName + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: OpenName + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Namespace.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Namespace.rst.txt new file mode 100644 index 000000000..42c465675 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Namespace.rst.txt @@ -0,0 +1,12 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +===================== +pyVHDLModel.Namespace +===================== + +.. automodule:: pyVHDLModel.Namespace + + +.. currentmodule:: pyVHDLModel.Namespace diff --git a/_sources/pyVHDLModel/pyVHDLModel.Object.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Object.rst.txt new file mode 100644 index 000000000..44c8f2750 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Object.rst.txt @@ -0,0 +1,150 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +================== +pyVHDLModel.Object +================== + +.. automodule:: pyVHDLModel.Object + + +.. currentmodule:: pyVHDLModel.Object + + +**Classes** + +- :py:class:`Obj`: + Base-class for all objects (constants, signals, variables and files) in VHDL. + +- :py:class:`WithDefaultExpressionMixin`: + A ``WithDefaultExpression`` is a mixin-class for all objects declarations accepting default expressions. + +- :py:class:`BaseConstant`: + Base-class for all constants (normal and deferred constants) in VHDL. + +- :py:class:`Constant`: + Represents a constant. + +- :py:class:`DeferredConstant`: + Represents a deferred constant. + +- :py:class:`Variable`: + Represents a variable. + +- :py:class:`SharedVariable`: + Represents a shared variable. + +- :py:class:`Signal`: + Represents a signal. + +- :py:class:`File`: + Represents a file. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: Obj + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Obj + :parts: 1 + +.. autoclass:: WithDefaultExpressionMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: WithDefaultExpressionMixin + :parts: 1 + +.. autoclass:: BaseConstant + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: BaseConstant + :parts: 1 + +.. autoclass:: Constant + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Constant + :parts: 1 + +.. autoclass:: DeferredConstant + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DeferredConstant + :parts: 1 + +.. autoclass:: Variable + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Variable + :parts: 1 + +.. autoclass:: SharedVariable + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SharedVariable + :parts: 1 + +.. autoclass:: Signal + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Signal + :parts: 1 + +.. autoclass:: File + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: File + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.PSLModel.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.PSLModel.rst.txt new file mode 100644 index 000000000..1750c7c96 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.PSLModel.rst.txt @@ -0,0 +1,108 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +==================== +pyVHDLModel.PSLModel +==================== + +.. automodule:: pyVHDLModel.PSLModel + + +.. currentmodule:: pyVHDLModel.PSLModel + + +**Classes** + +- :py:class:`PSLEntity`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`PSLPrimaryUnit`: + A base-class for all primary design units. + +- :py:class:`VerificationUnit`: + A base-class for all primary design units. + +- :py:class:`VerificationProperty`: + A base-class for all primary design units. + +- :py:class:`VerificationMode`: + A base-class for all primary design units. + +- :py:class:`DefaultClock`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + + + +--------------------- + +**Classes** + + + + +.. autoclass:: PSLEntity + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PSLEntity + :parts: 1 + +.. autoclass:: PSLPrimaryUnit + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PSLPrimaryUnit + :parts: 1 + +.. autoclass:: VerificationUnit + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: VerificationUnit + :parts: 1 + +.. autoclass:: VerificationProperty + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: VerificationProperty + :parts: 1 + +.. autoclass:: VerificationMode + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: VerificationMode + :parts: 1 + +.. autoclass:: DefaultClock + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DefaultClock + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Predefined.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Predefined.rst.txt new file mode 100644 index 000000000..de2111825 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Predefined.rst.txt @@ -0,0 +1,80 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +====================== +pyVHDLModel.Predefined +====================== + +.. automodule:: pyVHDLModel.Predefined + + +.. currentmodule:: pyVHDLModel.Predefined + + +**Classes** + +- :py:class:`PredefinedLibrary`: + A base-class for predefined VHDL libraries. + +- :py:class:`PredefinedPackageMixin`: + A mixin-class for predefined VHDL packages and package bodies. + +- :py:class:`PredefinedPackage`: + A base-class for predefined VHDL packages. + +- :py:class:`PredefinedPackageBody`: + A base-class for predefined VHDL package bodies. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: PredefinedLibrary + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PredefinedLibrary + :parts: 1 + +.. autoclass:: PredefinedPackageMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PredefinedPackageMixin + :parts: 1 + +.. autoclass:: PredefinedPackage + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PredefinedPackage + :parts: 1 + +.. autoclass:: PredefinedPackageBody + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PredefinedPackageBody + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Regions.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Regions.rst.txt new file mode 100644 index 000000000..3fac86f27 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Regions.rst.txt @@ -0,0 +1,38 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +=================== +pyVHDLModel.Regions +=================== + +.. automodule:: pyVHDLModel.Regions + + +.. currentmodule:: pyVHDLModel.Regions + + +**Classes** + +- :py:class:`ConcurrentDeclarationRegionMixin`: + Undocumented. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: ConcurrentDeclarationRegionMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConcurrentDeclarationRegionMixin + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.STD.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.STD.rst.txt new file mode 100644 index 000000000..f9fbeadc9 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.STD.rst.txt @@ -0,0 +1,122 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +=============== +pyVHDLModel.STD +=============== + +.. automodule:: pyVHDLModel.STD + + +.. currentmodule:: pyVHDLModel.STD + + +**Classes** + +- :py:class:`Std`: + Predefined VHDL library ``std``. + +- :py:class:`Standard`: + Predefined package ``std.standard``. + +- :py:class:`Standard_Body`: + Predefined package body of package ``std.standard``. + +- :py:class:`TextIO`: + Predefined package ``std.textio``. + +- :py:class:`TextIO_Body`: + Predefined package body of package ``std.textio``. + +- :py:class:`Env`: + Predefined package ``std.env``. + +- :py:class:`Env_Body`: + Predefined package body of package ``std.env``. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: Std + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Std + :parts: 1 + +.. autoclass:: Standard + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Standard + :parts: 1 + +.. autoclass:: Standard_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Standard_Body + :parts: 1 + +.. autoclass:: TextIO + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: TextIO + :parts: 1 + +.. autoclass:: TextIO_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: TextIO_Body + :parts: 1 + +.. autoclass:: Env + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Env + :parts: 1 + +.. autoclass:: Env_Body + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Env_Body + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Sequential.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Sequential.rst.txt new file mode 100644 index 000000000..c1edfac75 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Sequential.rst.txt @@ -0,0 +1,472 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +====================== +pyVHDLModel.Sequential +====================== + +.. automodule:: pyVHDLModel.Sequential + + +.. currentmodule:: pyVHDLModel.Sequential + + +**Classes** + +- :py:class:`SequentialStatement`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`SequentialStatementsMixin`: + Undocumented. + +- :py:class:`SequentialProcedureCall`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`SequentialSignalAssignment`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`SequentialSimpleSignalAssignment`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`SequentialVariableAssignment`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`SequentialReportStatement`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`SequentialAssertStatement`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`CompoundStatement`: + A ``CompoundStatement`` is a base-class for all compound statements. + +- :py:class:`Branch`: + A ``Branch`` is a base-class for all branches in a if statement. + +- :py:class:`IfBranch`: + A ``Branch`` is a base-class for all branches in a if statement. + +- :py:class:`ElsifBranch`: + A ``Branch`` is a base-class for all branches in a if statement. + +- :py:class:`ElseBranch`: + A ``Branch`` is a base-class for all branches in a if statement. + +- :py:class:`IfStatement`: + A ``CompoundStatement`` is a base-class for all compound statements. + +- :py:class:`SequentialChoice`: + A ``SequentialChoice`` is a base-class for all sequential choices (in case statements). + +- :py:class:`IndexedChoice`: + A ``SequentialChoice`` is a base-class for all sequential choices (in case statements). + +- :py:class:`RangedChoice`: + A ``SequentialChoice`` is a base-class for all sequential choices (in case statements). + +- :py:class:`SequentialCase`: + A ``Case`` is a base-class for all cases. + +- :py:class:`Case`: + A ``Case`` is a base-class for all cases. + +- :py:class:`OthersCase`: + A ``Case`` is a base-class for all cases. + +- :py:class:`CaseStatement`: + A ``CompoundStatement`` is a base-class for all compound statements. + +- :py:class:`LoopStatement`: + A ``LoopStatement`` is a base-class for all loop statements. + +- :py:class:`EndlessLoopStatement`: + A ``LoopStatement`` is a base-class for all loop statements. + +- :py:class:`ForLoopStatement`: + A ``LoopStatement`` is a base-class for all loop statements. + +- :py:class:`WhileLoopStatement`: + A ``LoopStatement`` is a base-class for all loop statements. + +- :py:class:`LoopControlStatement`: + A ``LoopControlStatement`` is a base-class for all loop controlling statements. + +- :py:class:`NextStatement`: + A ``LoopControlStatement`` is a base-class for all loop controlling statements. + +- :py:class:`ExitStatement`: + A ``LoopControlStatement`` is a base-class for all loop controlling statements. + +- :py:class:`NullStatement`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`ReturnStatement`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`WaitStatement`: + A ``SequentialStatement`` is a base-class for all sequential statements. + +- :py:class:`SequentialDeclarationsMixin`: + Undocumented. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: SequentialStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialStatement + :parts: 1 + +.. autoclass:: SequentialStatementsMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialStatementsMixin + :parts: 1 + +.. autoclass:: SequentialProcedureCall + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialProcedureCall + :parts: 1 + +.. autoclass:: SequentialSignalAssignment + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialSignalAssignment + :parts: 1 + +.. autoclass:: SequentialSimpleSignalAssignment + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialSimpleSignalAssignment + :parts: 1 + +.. autoclass:: SequentialVariableAssignment + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialVariableAssignment + :parts: 1 + +.. autoclass:: SequentialReportStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialReportStatement + :parts: 1 + +.. autoclass:: SequentialAssertStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialAssertStatement + :parts: 1 + +.. autoclass:: CompoundStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: CompoundStatement + :parts: 1 + +.. autoclass:: Branch + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Branch + :parts: 1 + +.. autoclass:: IfBranch + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IfBranch + :parts: 1 + +.. autoclass:: ElsifBranch + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ElsifBranch + :parts: 1 + +.. autoclass:: ElseBranch + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ElseBranch + :parts: 1 + +.. autoclass:: IfStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IfStatement + :parts: 1 + +.. autoclass:: SequentialChoice + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialChoice + :parts: 1 + +.. autoclass:: IndexedChoice + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IndexedChoice + :parts: 1 + +.. autoclass:: RangedChoice + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RangedChoice + :parts: 1 + +.. autoclass:: SequentialCase + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialCase + :parts: 1 + +.. autoclass:: Case + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Case + :parts: 1 + +.. autoclass:: OthersCase + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: OthersCase + :parts: 1 + +.. autoclass:: CaseStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: CaseStatement + :parts: 1 + +.. autoclass:: LoopStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: LoopStatement + :parts: 1 + +.. autoclass:: EndlessLoopStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: EndlessLoopStatement + :parts: 1 + +.. autoclass:: ForLoopStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ForLoopStatement + :parts: 1 + +.. autoclass:: WhileLoopStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: WhileLoopStatement + :parts: 1 + +.. autoclass:: LoopControlStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: LoopControlStatement + :parts: 1 + +.. autoclass:: NextStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NextStatement + :parts: 1 + +.. autoclass:: ExitStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ExitStatement + :parts: 1 + +.. autoclass:: NullStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NullStatement + :parts: 1 + +.. autoclass:: ReturnStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ReturnStatement + :parts: 1 + +.. autoclass:: WaitStatement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: WaitStatement + :parts: 1 + +.. autoclass:: SequentialDeclarationsMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SequentialDeclarationsMixin + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Subprogram.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Subprogram.rst.txt new file mode 100644 index 000000000..2c6b5d305 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Subprogram.rst.txt @@ -0,0 +1,108 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +====================== +pyVHDLModel.Subprogram +====================== + +.. automodule:: pyVHDLModel.Subprogram + + +.. currentmodule:: pyVHDLModel.Subprogram + + +**Classes** + +- :py:class:`Subprogram`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`Procedure`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`Function`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`MethodMixin`: + A ``Method`` is a mixin class for all subprograms in a protected type. + +- :py:class:`ProcedureMethod`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`FunctionMethod`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + + + +--------------------- + +**Classes** + + + + +.. autoclass:: Subprogram + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Subprogram + :parts: 1 + +.. autoclass:: Procedure + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Procedure + :parts: 1 + +.. autoclass:: Function + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Function + :parts: 1 + +.. autoclass:: MethodMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: MethodMixin + :parts: 1 + +.. autoclass:: ProcedureMethod + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ProcedureMethod + :parts: 1 + +.. autoclass:: FunctionMethod + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: FunctionMethod + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Symbol.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Symbol.rst.txt new file mode 100644 index 000000000..453f13e9d --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Symbol.rst.txt @@ -0,0 +1,332 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +================== +pyVHDLModel.Symbol +================== + +.. automodule:: pyVHDLModel.Symbol + + +.. currentmodule:: pyVHDLModel.Symbol + + +**Classes** + +- :py:class:`PossibleReference`: + Is an enumeration, representing possible targets for a reference in a :class:`~pyVHDLModel.Symbol`. + +- :py:class:`Symbol`: + Base-class for all symbol classes. + +- :py:class:`LibraryReferenceSymbol`: + Represents a reference (name) to a library. + +- :py:class:`PackageReferenceSymbol`: + Represents a reference (name) to a package. + +- :py:class:`ContextReferenceSymbol`: + Represents a reference (name) to a context. + +- :py:class:`PackageMemberReferenceSymbol`: + Represents a reference (name) to a package member. + +- :py:class:`AllPackageMembersReferenceSymbol`: + Represents a reference (name) to all package members. + +- :py:class:`EntityInstantiationSymbol`: + Represents a reference (name) to an entity in a direct entity instantiation. + +- :py:class:`ComponentInstantiationSymbol`: + Represents a reference (name) to an entity in a component instantiation. + +- :py:class:`ConfigurationInstantiationSymbol`: + Represents a reference (name) to an entity in a configuration instantiation. + +- :py:class:`EntitySymbol`: + Represents a reference (name) to an entity in an architecture declaration. + +- :py:class:`ArchitectureSymbol`: + An entity reference in an entity instantiation with architecture name. + +- :py:class:`PackageSymbol`: + Represents a reference (name) to a package in a package body declaration. + +- :py:class:`RecordElementSymbol`: + Base-class for all symbol classes. + +- :py:class:`SubtypeSymbol`: + Base-class for all symbol classes. + +- :py:class:`SimpleSubtypeSymbol`: + Base-class for all symbol classes. + +- :py:class:`ConstrainedScalarSubtypeSymbol`: + Base-class for all symbol classes. + +- :py:class:`ConstrainedCompositeSubtypeSymbol`: + Base-class for all symbol classes. + +- :py:class:`ConstrainedArraySubtypeSymbol`: + Base-class for all symbol classes. + +- :py:class:`ConstrainedRecordSubtypeSymbol`: + Base-class for all symbol classes. + +- :py:class:`SimpleObjectOrFunctionCallSymbol`: + Base-class for all symbol classes. + +- :py:class:`IndexedObjectOrFunctionCallSymbol`: + Base-class for all symbol classes. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: PossibleReference + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PossibleReference + :parts: 1 + +.. autoclass:: Symbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Symbol + :parts: 1 + +.. autoclass:: LibraryReferenceSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: LibraryReferenceSymbol + :parts: 1 + +.. autoclass:: PackageReferenceSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PackageReferenceSymbol + :parts: 1 + +.. autoclass:: ContextReferenceSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ContextReferenceSymbol + :parts: 1 + +.. autoclass:: PackageMemberReferenceSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PackageMemberReferenceSymbol + :parts: 1 + +.. autoclass:: AllPackageMembersReferenceSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AllPackageMembersReferenceSymbol + :parts: 1 + +.. autoclass:: EntityInstantiationSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: EntityInstantiationSymbol + :parts: 1 + +.. autoclass:: ComponentInstantiationSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ComponentInstantiationSymbol + :parts: 1 + +.. autoclass:: ConfigurationInstantiationSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConfigurationInstantiationSymbol + :parts: 1 + +.. autoclass:: EntitySymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: EntitySymbol + :parts: 1 + +.. autoclass:: ArchitectureSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ArchitectureSymbol + :parts: 1 + +.. autoclass:: PackageSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PackageSymbol + :parts: 1 + +.. autoclass:: RecordElementSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RecordElementSymbol + :parts: 1 + +.. autoclass:: SubtypeSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SubtypeSymbol + :parts: 1 + +.. autoclass:: SimpleSubtypeSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SimpleSubtypeSymbol + :parts: 1 + +.. autoclass:: ConstrainedScalarSubtypeSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConstrainedScalarSubtypeSymbol + :parts: 1 + +.. autoclass:: ConstrainedCompositeSubtypeSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConstrainedCompositeSubtypeSymbol + :parts: 1 + +.. autoclass:: ConstrainedArraySubtypeSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConstrainedArraySubtypeSymbol + :parts: 1 + +.. autoclass:: ConstrainedRecordSubtypeSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ConstrainedRecordSubtypeSymbol + :parts: 1 + +.. autoclass:: SimpleObjectOrFunctionCallSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: SimpleObjectOrFunctionCallSymbol + :parts: 1 + +.. autoclass:: IndexedObjectOrFunctionCallSymbol + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IndexedObjectOrFunctionCallSymbol + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.Type.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.Type.rst.txt new file mode 100644 index 000000000..13eaa7230 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.Type.rst.txt @@ -0,0 +1,318 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +================ +pyVHDLModel.Type +================ + +.. automodule:: pyVHDLModel.Type + + +.. currentmodule:: pyVHDLModel.Type + + +**Classes** + +- :py:class:`BaseType`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`Type`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`AnonymousType`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`FullType`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`Subtype`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`ScalarType`: + A ``ScalarType`` is a base-class for all scalar types. + +- :py:class:`RangedScalarType`: + A ``RangedScalarType`` is a base-class for all scalar types with a range. + +- :py:class:`NumericTypeMixin`: + A ``NumericType`` is a mixin class for all numeric types. + +- :py:class:`DiscreteTypeMixin`: + A ``DiscreteType`` is a mixin class for all discrete types. + +- :py:class:`EnumeratedType`: + A ``ScalarType`` is a base-class for all scalar types. + +- :py:class:`IntegerType`: + A ``RangedScalarType`` is a base-class for all scalar types with a range. + +- :py:class:`RealType`: + A ``RangedScalarType`` is a base-class for all scalar types with a range. + +- :py:class:`PhysicalType`: + A ``RangedScalarType`` is a base-class for all scalar types with a range. + +- :py:class:`CompositeType`: + A ``CompositeType`` is a base-class for all composite types. + +- :py:class:`ArrayType`: + A ``CompositeType`` is a base-class for all composite types. + +- :py:class:`RecordTypeElement`: + ``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple + +- :py:class:`RecordType`: + A ``CompositeType`` is a base-class for all composite types. + +- :py:class:`ProtectedType`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`ProtectedTypeBody`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`AccessType`: + ``BaseType`` is the base-class of all type entities in this model. + +- :py:class:`FileType`: + ``BaseType`` is the base-class of all type entities in this model. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: BaseType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: BaseType + :parts: 1 + +.. autoclass:: Type + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Type + :parts: 1 + +.. autoclass:: AnonymousType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AnonymousType + :parts: 1 + +.. autoclass:: FullType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: FullType + :parts: 1 + +.. autoclass:: Subtype + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Subtype + :parts: 1 + +.. autoclass:: ScalarType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ScalarType + :parts: 1 + +.. autoclass:: RangedScalarType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RangedScalarType + :parts: 1 + +.. autoclass:: NumericTypeMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: NumericTypeMixin + :parts: 1 + +.. autoclass:: DiscreteTypeMixin + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DiscreteTypeMixin + :parts: 1 + +.. autoclass:: EnumeratedType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: EnumeratedType + :parts: 1 + +.. autoclass:: IntegerType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: IntegerType + :parts: 1 + +.. autoclass:: RealType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RealType + :parts: 1 + +.. autoclass:: PhysicalType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: PhysicalType + :parts: 1 + +.. autoclass:: CompositeType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: CompositeType + :parts: 1 + +.. autoclass:: ArrayType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ArrayType + :parts: 1 + +.. autoclass:: RecordTypeElement + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RecordTypeElement + :parts: 1 + +.. autoclass:: RecordType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: RecordType + :parts: 1 + +.. autoclass:: ProtectedType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ProtectedType + :parts: 1 + +.. autoclass:: ProtectedTypeBody + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ProtectedTypeBody + :parts: 1 + +.. autoclass:: AccessType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: AccessType + :parts: 1 + +.. autoclass:: FileType + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: FileType + :parts: 1 diff --git a/_sources/pyVHDLModel/pyVHDLModel.rst.txt b/_sources/pyVHDLModel/pyVHDLModel.rst.txt new file mode 100644 index 000000000..b74838402 --- /dev/null +++ b/_sources/pyVHDLModel/pyVHDLModel.rst.txt @@ -0,0 +1,193 @@ +.. # Template modified by Patrick Lehmann + * removed automodule on top, because private members are activated for autodoc (no doubled documentation). + * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels. + +=========== +pyVHDLModel +=========== + +.. automodule:: pyVHDLModel + + + +**Submodules** + +.. toctree:: + :maxdepth: 1 + + pyVHDLModel.Association + pyVHDLModel.Base + pyVHDLModel.Common + pyVHDLModel.Concurrent + pyVHDLModel.Declaration + pyVHDLModel.DesignUnit + pyVHDLModel.Exception + pyVHDLModel.Expression + pyVHDLModel.IEEE + pyVHDLModel.Instantiation + pyVHDLModel.Interface + pyVHDLModel.Name + pyVHDLModel.Namespace + pyVHDLModel.Object + pyVHDLModel.PSLModel + pyVHDLModel.Predefined + pyVHDLModel.Regions + pyVHDLModel.STD + pyVHDLModel.Sequential + pyVHDLModel.Subprogram + pyVHDLModel.Symbol + pyVHDLModel.Type + +.. currentmodule:: pyVHDLModel + + +**Classes** + +- :py:class:`VHDLVersion`: + An enumeration for all possible version numbers for VHDL and VHDL-AMS. + +- :py:class:`ObjectClass`: + An ``ObjectClass`` is an enumeration and represents an object's class (``constant``, ``signal``, ...). + +- :py:class:`DesignUnitKind`: + A ``DesignUnitKind`` is an enumeration and represents the kind of design unit (``Entity``, ``Architecture``, ...). + +- :py:class:`DependencyGraphVertexKind`: + A ``DependencyGraphVertexKind`` is an enumeration and represents the kind of vertex in the dependency graph. + +- :py:class:`DependencyGraphEdgeKind`: + A ``DependencyGraphEdgeKind`` is an enumeration and represents the kind of edge in the dependency graph. + +- :py:class:`ObjectGraphVertexKind`: + A ``ObjectGraphVertexKind`` is an enumeration and represents the kind of vertex in the object graph. + +- :py:class:`ObjectGraphEdgeKind`: + A ``ObjectGraphEdgeKind`` is an enumeration and represents the kind of edge in the object graph. + +- :py:class:`Design`: + A ``Design`` represents set of VHDL libraries as well as all loaded and analysed source files (see :class:`~pyVHDLModel.Document`). + +- :py:class:`Library`: + A ``Library`` represents a VHDL library. It contains all *primary* and *secondary* design units. + +- :py:class:`Document`: + A ``Document`` represents a sourcefile. It contains *primary* and *secondary* design units. + + + +--------------------- + +**Classes** + + + + +.. autoclass:: VHDLVersion + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: VHDLVersion + :parts: 1 + +.. autoclass:: ObjectClass + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ObjectClass + :parts: 1 + +.. autoclass:: DesignUnitKind + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DesignUnitKind + :parts: 1 + +.. autoclass:: DependencyGraphVertexKind + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DependencyGraphVertexKind + :parts: 1 + +.. autoclass:: DependencyGraphEdgeKind + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: DependencyGraphEdgeKind + :parts: 1 + +.. autoclass:: ObjectGraphVertexKind + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ObjectGraphVertexKind + :parts: 1 + +.. autoclass:: ObjectGraphEdgeKind + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: ObjectGraphEdgeKind + :parts: 1 + +.. autoclass:: Design + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Design + :parts: 1 + +.. autoclass:: Library + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Library + :parts: 1 + +.. autoclass:: Document + :members: + :private-members: + :special-members: + :inherited-members: + :exclude-members: __weakref__ + + .. rubric:: Inheritance + .. inheritance-diagram:: Document + :parts: 1 diff --git a/_sources/typing/index.rst.txt b/_sources/typing/index.rst.txt new file mode 100644 index 000000000..97d4ae2aa --- /dev/null +++ b/_sources/typing/index.rst.txt @@ -0,0 +1,4 @@ +Static Type Checking Report +########################### + +*Placeholder for the Static Type Checking report generated with* ``mypy``. diff --git a/_sources/unittests/index.rst.txt b/_sources/unittests/index.rst.txt new file mode 100644 index 000000000..8b840ee66 --- /dev/null +++ b/_sources/unittests/index.rst.txt @@ -0,0 +1,7 @@ +Unittest Summary Report +####################### + +Unittest report generated with `pytest `__. + +.. report:unittest-summary:: + :reportid: src diff --git a/_sphinx_design_static/design-tabs.js b/_sphinx_design_static/design-tabs.js new file mode 100644 index 000000000..b25bd6a4f --- /dev/null +++ b/_sphinx_design_static/design-tabs.js @@ -0,0 +1,101 @@ +// @ts-check + +// Extra JS capability for selected tabs to be synced +// The selection is stored in local storage so that it persists across page loads. + +/** + * @type {Record} + */ +let sd_id_to_elements = {}; +const storageKeyPrefix = "sphinx-design-tab-id-"; + +/** + * Create a key for a tab element. + * @param {HTMLElement} el - The tab element. + * @returns {[string, string, string] | null} - The key. + * + */ +function create_key(el) { + let syncId = el.getAttribute("data-sync-id"); + let syncGroup = el.getAttribute("data-sync-group"); + if (!syncId || !syncGroup) return null; + return [syncGroup, syncId, syncGroup + "--" + syncId]; +} + +/** + * Initialize the tab selection. + * + */ +function ready() { + // Find all tabs with sync data + + /** @type {string[]} */ + let groups = []; + + document.querySelectorAll(".sd-tab-label").forEach((label) => { + if (label instanceof HTMLElement) { + let data = create_key(label); + if (data) { + let [group, id, key] = data; + + // add click event listener + // @ts-ignore + label.onclick = onSDLabelClick; + + // store map of key to elements + if (!sd_id_to_elements[key]) { + sd_id_to_elements[key] = []; + } + sd_id_to_elements[key].push(label); + + if (groups.indexOf(group) === -1) { + groups.push(group); + // Check if a specific tab has been selected via URL parameter + const tabParam = new URLSearchParams(window.location.search).get( + group + ); + if (tabParam) { + console.log( + "sphinx-design: Selecting tab id for group '" + + group + + "' from URL parameter: " + + tabParam + ); + window.sessionStorage.setItem(storageKeyPrefix + group, tabParam); + } + } + + // Check is a specific tab has been selected previously + let previousId = window.sessionStorage.getItem( + storageKeyPrefix + group + ); + if (previousId === id) { + // console.log( + // "sphinx-design: Selecting tab from session storage: " + id + // ); + // @ts-ignore + label.previousElementSibling.checked = true; + } + } + } + }); +} + +/** + * Activate other tabs with the same sync id. + * + * @this {HTMLElement} - The element that was clicked. + */ +function onSDLabelClick() { + let data = create_key(this); + if (!data) return; + let [group, id, key] = data; + for (const label of sd_id_to_elements[key]) { + if (label === this) continue; + // @ts-ignore + label.previousElementSibling.checked = true; + } + window.sessionStorage.setItem(storageKeyPrefix + group, id); +} + +document.addEventListener("DOMContentLoaded", ready, false); diff --git a/_sphinx_design_static/sphinx-design.min.css b/_sphinx_design_static/sphinx-design.min.css new file mode 100644 index 000000000..860c36da0 --- /dev/null +++ b/_sphinx_design_static/sphinx-design.min.css @@ -0,0 +1 @@ +.sd-bg-primary{background-color:var(--sd-color-primary) !important}.sd-bg-text-primary{color:var(--sd-color-primary-text) !important}button.sd-bg-primary:focus,button.sd-bg-primary:hover{background-color:var(--sd-color-primary-highlight) !important}a.sd-bg-primary:focus,a.sd-bg-primary:hover{background-color:var(--sd-color-primary-highlight) !important}.sd-bg-secondary{background-color:var(--sd-color-secondary) !important}.sd-bg-text-secondary{color:var(--sd-color-secondary-text) !important}button.sd-bg-secondary:focus,button.sd-bg-secondary:hover{background-color:var(--sd-color-secondary-highlight) !important}a.sd-bg-secondary:focus,a.sd-bg-secondary:hover{background-color:var(--sd-color-secondary-highlight) !important}.sd-bg-success{background-color:var(--sd-color-success) !important}.sd-bg-text-success{color:var(--sd-color-success-text) !important}button.sd-bg-success:focus,button.sd-bg-success:hover{background-color:var(--sd-color-success-highlight) !important}a.sd-bg-success:focus,a.sd-bg-success:hover{background-color:var(--sd-color-success-highlight) !important}.sd-bg-info{background-color:var(--sd-color-info) !important}.sd-bg-text-info{color:var(--sd-color-info-text) !important}button.sd-bg-info:focus,button.sd-bg-info:hover{background-color:var(--sd-color-info-highlight) !important}a.sd-bg-info:focus,a.sd-bg-info:hover{background-color:var(--sd-color-info-highlight) !important}.sd-bg-warning{background-color:var(--sd-color-warning) !important}.sd-bg-text-warning{color:var(--sd-color-warning-text) !important}button.sd-bg-warning:focus,button.sd-bg-warning:hover{background-color:var(--sd-color-warning-highlight) !important}a.sd-bg-warning:focus,a.sd-bg-warning:hover{background-color:var(--sd-color-warning-highlight) !important}.sd-bg-danger{background-color:var(--sd-color-danger) !important}.sd-bg-text-danger{color:var(--sd-color-danger-text) !important}button.sd-bg-danger:focus,button.sd-bg-danger:hover{background-color:var(--sd-color-danger-highlight) !important}a.sd-bg-danger:focus,a.sd-bg-danger:hover{background-color:var(--sd-color-danger-highlight) !important}.sd-bg-light{background-color:var(--sd-color-light) !important}.sd-bg-text-light{color:var(--sd-color-light-text) !important}button.sd-bg-light:focus,button.sd-bg-light:hover{background-color:var(--sd-color-light-highlight) !important}a.sd-bg-light:focus,a.sd-bg-light:hover{background-color:var(--sd-color-light-highlight) !important}.sd-bg-muted{background-color:var(--sd-color-muted) !important}.sd-bg-text-muted{color:var(--sd-color-muted-text) !important}button.sd-bg-muted:focus,button.sd-bg-muted:hover{background-color:var(--sd-color-muted-highlight) !important}a.sd-bg-muted:focus,a.sd-bg-muted:hover{background-color:var(--sd-color-muted-highlight) !important}.sd-bg-dark{background-color:var(--sd-color-dark) !important}.sd-bg-text-dark{color:var(--sd-color-dark-text) !important}button.sd-bg-dark:focus,button.sd-bg-dark:hover{background-color:var(--sd-color-dark-highlight) !important}a.sd-bg-dark:focus,a.sd-bg-dark:hover{background-color:var(--sd-color-dark-highlight) !important}.sd-bg-black{background-color:var(--sd-color-black) !important}.sd-bg-text-black{color:var(--sd-color-black-text) !important}button.sd-bg-black:focus,button.sd-bg-black:hover{background-color:var(--sd-color-black-highlight) !important}a.sd-bg-black:focus,a.sd-bg-black:hover{background-color:var(--sd-color-black-highlight) !important}.sd-bg-white{background-color:var(--sd-color-white) !important}.sd-bg-text-white{color:var(--sd-color-white-text) !important}button.sd-bg-white:focus,button.sd-bg-white:hover{background-color:var(--sd-color-white-highlight) !important}a.sd-bg-white:focus,a.sd-bg-white:hover{background-color:var(--sd-color-white-highlight) !important}.sd-text-primary,.sd-text-primary>p{color:var(--sd-color-primary) !important}a.sd-text-primary:focus,a.sd-text-primary:hover{color:var(--sd-color-primary-highlight) !important}.sd-text-secondary,.sd-text-secondary>p{color:var(--sd-color-secondary) !important}a.sd-text-secondary:focus,a.sd-text-secondary:hover{color:var(--sd-color-secondary-highlight) !important}.sd-text-success,.sd-text-success>p{color:var(--sd-color-success) !important}a.sd-text-success:focus,a.sd-text-success:hover{color:var(--sd-color-success-highlight) !important}.sd-text-info,.sd-text-info>p{color:var(--sd-color-info) !important}a.sd-text-info:focus,a.sd-text-info:hover{color:var(--sd-color-info-highlight) !important}.sd-text-warning,.sd-text-warning>p{color:var(--sd-color-warning) !important}a.sd-text-warning:focus,a.sd-text-warning:hover{color:var(--sd-color-warning-highlight) !important}.sd-text-danger,.sd-text-danger>p{color:var(--sd-color-danger) !important}a.sd-text-danger:focus,a.sd-text-danger:hover{color:var(--sd-color-danger-highlight) !important}.sd-text-light,.sd-text-light>p{color:var(--sd-color-light) !important}a.sd-text-light:focus,a.sd-text-light:hover{color:var(--sd-color-light-highlight) !important}.sd-text-muted,.sd-text-muted>p{color:var(--sd-color-muted) !important}a.sd-text-muted:focus,a.sd-text-muted:hover{color:var(--sd-color-muted-highlight) !important}.sd-text-dark,.sd-text-dark>p{color:var(--sd-color-dark) !important}a.sd-text-dark:focus,a.sd-text-dark:hover{color:var(--sd-color-dark-highlight) !important}.sd-text-black,.sd-text-black>p{color:var(--sd-color-black) !important}a.sd-text-black:focus,a.sd-text-black:hover{color:var(--sd-color-black-highlight) !important}.sd-text-white,.sd-text-white>p{color:var(--sd-color-white) !important}a.sd-text-white:focus,a.sd-text-white:hover{color:var(--sd-color-white-highlight) !important}.sd-outline-primary{border-color:var(--sd-color-primary) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-primary:focus,a.sd-outline-primary:hover{border-color:var(--sd-color-primary-highlight) !important}.sd-outline-secondary{border-color:var(--sd-color-secondary) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-secondary:focus,a.sd-outline-secondary:hover{border-color:var(--sd-color-secondary-highlight) !important}.sd-outline-success{border-color:var(--sd-color-success) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-success:focus,a.sd-outline-success:hover{border-color:var(--sd-color-success-highlight) !important}.sd-outline-info{border-color:var(--sd-color-info) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-info:focus,a.sd-outline-info:hover{border-color:var(--sd-color-info-highlight) !important}.sd-outline-warning{border-color:var(--sd-color-warning) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-warning:focus,a.sd-outline-warning:hover{border-color:var(--sd-color-warning-highlight) !important}.sd-outline-danger{border-color:var(--sd-color-danger) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-danger:focus,a.sd-outline-danger:hover{border-color:var(--sd-color-danger-highlight) !important}.sd-outline-light{border-color:var(--sd-color-light) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-light:focus,a.sd-outline-light:hover{border-color:var(--sd-color-light-highlight) !important}.sd-outline-muted{border-color:var(--sd-color-muted) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-muted:focus,a.sd-outline-muted:hover{border-color:var(--sd-color-muted-highlight) !important}.sd-outline-dark{border-color:var(--sd-color-dark) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-dark:focus,a.sd-outline-dark:hover{border-color:var(--sd-color-dark-highlight) !important}.sd-outline-black{border-color:var(--sd-color-black) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-black:focus,a.sd-outline-black:hover{border-color:var(--sd-color-black-highlight) !important}.sd-outline-white{border-color:var(--sd-color-white) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-white:focus,a.sd-outline-white:hover{border-color:var(--sd-color-white-highlight) !important}.sd-bg-transparent{background-color:transparent !important}.sd-outline-transparent{border-color:transparent !important}.sd-text-transparent{color:transparent !important}.sd-p-0{padding:0 !important}.sd-pt-0,.sd-py-0{padding-top:0 !important}.sd-pr-0,.sd-px-0{padding-right:0 !important}.sd-pb-0,.sd-py-0{padding-bottom:0 !important}.sd-pl-0,.sd-px-0{padding-left:0 !important}.sd-p-1{padding:.25rem !important}.sd-pt-1,.sd-py-1{padding-top:.25rem !important}.sd-pr-1,.sd-px-1{padding-right:.25rem !important}.sd-pb-1,.sd-py-1{padding-bottom:.25rem !important}.sd-pl-1,.sd-px-1{padding-left:.25rem !important}.sd-p-2{padding:.5rem !important}.sd-pt-2,.sd-py-2{padding-top:.5rem !important}.sd-pr-2,.sd-px-2{padding-right:.5rem !important}.sd-pb-2,.sd-py-2{padding-bottom:.5rem !important}.sd-pl-2,.sd-px-2{padding-left:.5rem !important}.sd-p-3{padding:1rem !important}.sd-pt-3,.sd-py-3{padding-top:1rem !important}.sd-pr-3,.sd-px-3{padding-right:1rem !important}.sd-pb-3,.sd-py-3{padding-bottom:1rem !important}.sd-pl-3,.sd-px-3{padding-left:1rem !important}.sd-p-4{padding:1.5rem !important}.sd-pt-4,.sd-py-4{padding-top:1.5rem !important}.sd-pr-4,.sd-px-4{padding-right:1.5rem !important}.sd-pb-4,.sd-py-4{padding-bottom:1.5rem !important}.sd-pl-4,.sd-px-4{padding-left:1.5rem !important}.sd-p-5{padding:3rem !important}.sd-pt-5,.sd-py-5{padding-top:3rem !important}.sd-pr-5,.sd-px-5{padding-right:3rem !important}.sd-pb-5,.sd-py-5{padding-bottom:3rem !important}.sd-pl-5,.sd-px-5{padding-left:3rem !important}.sd-m-auto{margin:auto !important}.sd-mt-auto,.sd-my-auto{margin-top:auto !important}.sd-mr-auto,.sd-mx-auto{margin-right:auto !important}.sd-mb-auto,.sd-my-auto{margin-bottom:auto !important}.sd-ml-auto,.sd-mx-auto{margin-left:auto !important}.sd-m-0{margin:0 !important}.sd-mt-0,.sd-my-0{margin-top:0 !important}.sd-mr-0,.sd-mx-0{margin-right:0 !important}.sd-mb-0,.sd-my-0{margin-bottom:0 !important}.sd-ml-0,.sd-mx-0{margin-left:0 !important}.sd-m-1{margin:.25rem !important}.sd-mt-1,.sd-my-1{margin-top:.25rem !important}.sd-mr-1,.sd-mx-1{margin-right:.25rem !important}.sd-mb-1,.sd-my-1{margin-bottom:.25rem !important}.sd-ml-1,.sd-mx-1{margin-left:.25rem !important}.sd-m-2{margin:.5rem !important}.sd-mt-2,.sd-my-2{margin-top:.5rem !important}.sd-mr-2,.sd-mx-2{margin-right:.5rem !important}.sd-mb-2,.sd-my-2{margin-bottom:.5rem !important}.sd-ml-2,.sd-mx-2{margin-left:.5rem !important}.sd-m-3{margin:1rem !important}.sd-mt-3,.sd-my-3{margin-top:1rem !important}.sd-mr-3,.sd-mx-3{margin-right:1rem !important}.sd-mb-3,.sd-my-3{margin-bottom:1rem !important}.sd-ml-3,.sd-mx-3{margin-left:1rem !important}.sd-m-4{margin:1.5rem !important}.sd-mt-4,.sd-my-4{margin-top:1.5rem !important}.sd-mr-4,.sd-mx-4{margin-right:1.5rem !important}.sd-mb-4,.sd-my-4{margin-bottom:1.5rem !important}.sd-ml-4,.sd-mx-4{margin-left:1.5rem !important}.sd-m-5{margin:3rem !important}.sd-mt-5,.sd-my-5{margin-top:3rem !important}.sd-mr-5,.sd-mx-5{margin-right:3rem !important}.sd-mb-5,.sd-my-5{margin-bottom:3rem !important}.sd-ml-5,.sd-mx-5{margin-left:3rem !important}.sd-w-25{width:25% !important}.sd-w-50{width:50% !important}.sd-w-75{width:75% !important}.sd-w-100{width:100% !important}.sd-w-auto{width:auto !important}.sd-h-25{height:25% !important}.sd-h-50{height:50% !important}.sd-h-75{height:75% !important}.sd-h-100{height:100% !important}.sd-h-auto{height:auto !important}.sd-d-none{display:none !important}.sd-d-inline{display:inline !important}.sd-d-inline-block{display:inline-block !important}.sd-d-block{display:block !important}.sd-d-grid{display:grid !important}.sd-d-flex-row{display:-ms-flexbox !important;display:flex !important;flex-direction:row !important}.sd-d-flex-column{display:-ms-flexbox !important;display:flex !important;flex-direction:column !important}.sd-d-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}@media(min-width: 576px){.sd-d-sm-none{display:none !important}.sd-d-sm-inline{display:inline !important}.sd-d-sm-inline-block{display:inline-block !important}.sd-d-sm-block{display:block !important}.sd-d-sm-grid{display:grid !important}.sd-d-sm-flex{display:-ms-flexbox !important;display:flex !important}.sd-d-sm-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}}@media(min-width: 768px){.sd-d-md-none{display:none !important}.sd-d-md-inline{display:inline !important}.sd-d-md-inline-block{display:inline-block !important}.sd-d-md-block{display:block !important}.sd-d-md-grid{display:grid !important}.sd-d-md-flex{display:-ms-flexbox !important;display:flex !important}.sd-d-md-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}}@media(min-width: 992px){.sd-d-lg-none{display:none !important}.sd-d-lg-inline{display:inline !important}.sd-d-lg-inline-block{display:inline-block !important}.sd-d-lg-block{display:block !important}.sd-d-lg-grid{display:grid !important}.sd-d-lg-flex{display:-ms-flexbox !important;display:flex !important}.sd-d-lg-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}}@media(min-width: 1200px){.sd-d-xl-none{display:none !important}.sd-d-xl-inline{display:inline !important}.sd-d-xl-inline-block{display:inline-block !important}.sd-d-xl-block{display:block !important}.sd-d-xl-grid{display:grid !important}.sd-d-xl-flex{display:-ms-flexbox !important;display:flex !important}.sd-d-xl-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}}.sd-align-major-start{justify-content:flex-start !important}.sd-align-major-end{justify-content:flex-end !important}.sd-align-major-center{justify-content:center !important}.sd-align-major-justify{justify-content:space-between !important}.sd-align-major-spaced{justify-content:space-evenly !important}.sd-align-minor-start{align-items:flex-start !important}.sd-align-minor-end{align-items:flex-end !important}.sd-align-minor-center{align-items:center !important}.sd-align-minor-stretch{align-items:stretch !important}.sd-text-justify{text-align:justify !important}.sd-text-left{text-align:left !important}.sd-text-right{text-align:right !important}.sd-text-center{text-align:center !important}.sd-font-weight-light{font-weight:300 !important}.sd-font-weight-lighter{font-weight:lighter !important}.sd-font-weight-normal{font-weight:400 !important}.sd-font-weight-bold{font-weight:700 !important}.sd-font-weight-bolder{font-weight:bolder !important}.sd-font-italic{font-style:italic !important}.sd-text-decoration-none{text-decoration:none !important}.sd-text-lowercase{text-transform:lowercase !important}.sd-text-uppercase{text-transform:uppercase !important}.sd-text-capitalize{text-transform:capitalize !important}.sd-text-wrap{white-space:normal !important}.sd-text-nowrap{white-space:nowrap !important}.sd-text-truncate{overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.sd-fs-1,.sd-fs-1>p{font-size:calc(1.375rem + 1.5vw) !important;line-height:unset !important}.sd-fs-2,.sd-fs-2>p{font-size:calc(1.325rem + 0.9vw) !important;line-height:unset !important}.sd-fs-3,.sd-fs-3>p{font-size:calc(1.3rem + 0.6vw) !important;line-height:unset !important}.sd-fs-4,.sd-fs-4>p{font-size:calc(1.275rem + 0.3vw) !important;line-height:unset !important}.sd-fs-5,.sd-fs-5>p{font-size:1.25rem !important;line-height:unset !important}.sd-fs-6,.sd-fs-6>p{font-size:1rem !important;line-height:unset !important}.sd-border-0{border:0 solid !important}.sd-border-top-0{border-top:0 solid !important}.sd-border-bottom-0{border-bottom:0 solid !important}.sd-border-right-0{border-right:0 solid !important}.sd-border-left-0{border-left:0 solid !important}.sd-border-1{border:1px solid !important}.sd-border-top-1{border-top:1px solid !important}.sd-border-bottom-1{border-bottom:1px solid !important}.sd-border-right-1{border-right:1px solid !important}.sd-border-left-1{border-left:1px solid !important}.sd-border-2{border:2px solid !important}.sd-border-top-2{border-top:2px solid !important}.sd-border-bottom-2{border-bottom:2px solid !important}.sd-border-right-2{border-right:2px solid !important}.sd-border-left-2{border-left:2px solid !important}.sd-border-3{border:3px solid !important}.sd-border-top-3{border-top:3px solid !important}.sd-border-bottom-3{border-bottom:3px solid !important}.sd-border-right-3{border-right:3px solid !important}.sd-border-left-3{border-left:3px solid !important}.sd-border-4{border:4px solid !important}.sd-border-top-4{border-top:4px solid !important}.sd-border-bottom-4{border-bottom:4px solid !important}.sd-border-right-4{border-right:4px solid !important}.sd-border-left-4{border-left:4px solid !important}.sd-border-5{border:5px solid !important}.sd-border-top-5{border-top:5px solid !important}.sd-border-bottom-5{border-bottom:5px solid !important}.sd-border-right-5{border-right:5px solid !important}.sd-border-left-5{border-left:5px solid !important}.sd-rounded-0{border-radius:0 !important}.sd-rounded-1{border-radius:.2rem !important}.sd-rounded-2{border-radius:.3rem !important}.sd-rounded-3{border-radius:.5rem !important}.sd-rounded-pill{border-radius:50rem !important}.sd-rounded-circle{border-radius:50% !important}.shadow-none{box-shadow:none !important}.sd-shadow-sm{box-shadow:0 .125rem .25rem var(--sd-color-shadow) !important}.sd-shadow-md{box-shadow:0 .5rem 1rem var(--sd-color-shadow) !important}.sd-shadow-lg{box-shadow:0 1rem 3rem var(--sd-color-shadow) !important}@keyframes sd-slide-from-left{0%{transform:translateX(-100%)}100%{transform:translateX(0)}}@keyframes sd-slide-from-right{0%{transform:translateX(200%)}100%{transform:translateX(0)}}@keyframes sd-grow100{0%{transform:scale(0);opacity:.5}100%{transform:scale(1);opacity:1}}@keyframes sd-grow50{0%{transform:scale(0.5);opacity:.5}100%{transform:scale(1);opacity:1}}@keyframes sd-grow50-rot20{0%{transform:scale(0.5) rotateZ(-20deg);opacity:.5}75%{transform:scale(1) rotateZ(5deg);opacity:1}95%{transform:scale(1) rotateZ(-1deg);opacity:1}100%{transform:scale(1) rotateZ(0);opacity:1}}.sd-animate-slide-from-left{animation:1s ease-out 0s 1 normal none running sd-slide-from-left}.sd-animate-slide-from-right{animation:1s ease-out 0s 1 normal none running sd-slide-from-right}.sd-animate-grow100{animation:1s ease-out 0s 1 normal none running sd-grow100}.sd-animate-grow50{animation:1s ease-out 0s 1 normal none running sd-grow50}.sd-animate-grow50-rot20{animation:1s ease-out 0s 1 normal none running sd-grow50-rot20}.sd-badge{display:inline-block;padding:.35em .65em;font-size:.75em;font-weight:700;line-height:1;text-align:center;white-space:nowrap;vertical-align:baseline;border-radius:.25rem}.sd-badge:empty{display:none}a.sd-badge{text-decoration:none}.sd-btn .sd-badge{position:relative;top:-1px}.sd-btn{background-color:transparent;border:1px solid transparent;border-radius:.25rem;cursor:pointer;display:inline-block;font-weight:400;font-size:1rem;line-height:1.5;padding:.375rem .75rem;text-align:center;text-decoration:none;transition:color .15s ease-in-out,background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out;vertical-align:middle;user-select:none;-moz-user-select:none;-ms-user-select:none;-webkit-user-select:none}.sd-btn:hover{text-decoration:none}@media(prefers-reduced-motion: reduce){.sd-btn{transition:none}}.sd-btn-primary,.sd-btn-outline-primary:hover,.sd-btn-outline-primary:focus{color:var(--sd-color-primary-text) !important;background-color:var(--sd-color-primary) !important;border-color:var(--sd-color-primary) !important;border-width:1px !important;border-style:solid !important}.sd-btn-primary:hover,.sd-btn-primary:focus{color:var(--sd-color-primary-text) !important;background-color:var(--sd-color-primary-highlight) !important;border-color:var(--sd-color-primary-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-primary{color:var(--sd-color-primary) !important;border-color:var(--sd-color-primary) !important;border-width:1px !important;border-style:solid !important}.sd-btn-secondary,.sd-btn-outline-secondary:hover,.sd-btn-outline-secondary:focus{color:var(--sd-color-secondary-text) !important;background-color:var(--sd-color-secondary) !important;border-color:var(--sd-color-secondary) !important;border-width:1px !important;border-style:solid !important}.sd-btn-secondary:hover,.sd-btn-secondary:focus{color:var(--sd-color-secondary-text) !important;background-color:var(--sd-color-secondary-highlight) !important;border-color:var(--sd-color-secondary-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-secondary{color:var(--sd-color-secondary) !important;border-color:var(--sd-color-secondary) !important;border-width:1px !important;border-style:solid !important}.sd-btn-success,.sd-btn-outline-success:hover,.sd-btn-outline-success:focus{color:var(--sd-color-success-text) !important;background-color:var(--sd-color-success) !important;border-color:var(--sd-color-success) !important;border-width:1px !important;border-style:solid !important}.sd-btn-success:hover,.sd-btn-success:focus{color:var(--sd-color-success-text) !important;background-color:var(--sd-color-success-highlight) !important;border-color:var(--sd-color-success-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-success{color:var(--sd-color-success) !important;border-color:var(--sd-color-success) !important;border-width:1px !important;border-style:solid !important}.sd-btn-info,.sd-btn-outline-info:hover,.sd-btn-outline-info:focus{color:var(--sd-color-info-text) !important;background-color:var(--sd-color-info) !important;border-color:var(--sd-color-info) !important;border-width:1px !important;border-style:solid !important}.sd-btn-info:hover,.sd-btn-info:focus{color:var(--sd-color-info-text) !important;background-color:var(--sd-color-info-highlight) !important;border-color:var(--sd-color-info-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-info{color:var(--sd-color-info) !important;border-color:var(--sd-color-info) !important;border-width:1px !important;border-style:solid !important}.sd-btn-warning,.sd-btn-outline-warning:hover,.sd-btn-outline-warning:focus{color:var(--sd-color-warning-text) !important;background-color:var(--sd-color-warning) !important;border-color:var(--sd-color-warning) !important;border-width:1px !important;border-style:solid !important}.sd-btn-warning:hover,.sd-btn-warning:focus{color:var(--sd-color-warning-text) !important;background-color:var(--sd-color-warning-highlight) !important;border-color:var(--sd-color-warning-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-warning{color:var(--sd-color-warning) !important;border-color:var(--sd-color-warning) !important;border-width:1px !important;border-style:solid !important}.sd-btn-danger,.sd-btn-outline-danger:hover,.sd-btn-outline-danger:focus{color:var(--sd-color-danger-text) !important;background-color:var(--sd-color-danger) !important;border-color:var(--sd-color-danger) !important;border-width:1px !important;border-style:solid !important}.sd-btn-danger:hover,.sd-btn-danger:focus{color:var(--sd-color-danger-text) !important;background-color:var(--sd-color-danger-highlight) !important;border-color:var(--sd-color-danger-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-danger{color:var(--sd-color-danger) !important;border-color:var(--sd-color-danger) !important;border-width:1px !important;border-style:solid !important}.sd-btn-light,.sd-btn-outline-light:hover,.sd-btn-outline-light:focus{color:var(--sd-color-light-text) !important;background-color:var(--sd-color-light) !important;border-color:var(--sd-color-light) !important;border-width:1px !important;border-style:solid !important}.sd-btn-light:hover,.sd-btn-light:focus{color:var(--sd-color-light-text) !important;background-color:var(--sd-color-light-highlight) !important;border-color:var(--sd-color-light-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-light{color:var(--sd-color-light) !important;border-color:var(--sd-color-light) !important;border-width:1px !important;border-style:solid !important}.sd-btn-muted,.sd-btn-outline-muted:hover,.sd-btn-outline-muted:focus{color:var(--sd-color-muted-text) !important;background-color:var(--sd-color-muted) !important;border-color:var(--sd-color-muted) !important;border-width:1px !important;border-style:solid !important}.sd-btn-muted:hover,.sd-btn-muted:focus{color:var(--sd-color-muted-text) !important;background-color:var(--sd-color-muted-highlight) !important;border-color:var(--sd-color-muted-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-muted{color:var(--sd-color-muted) !important;border-color:var(--sd-color-muted) !important;border-width:1px !important;border-style:solid !important}.sd-btn-dark,.sd-btn-outline-dark:hover,.sd-btn-outline-dark:focus{color:var(--sd-color-dark-text) !important;background-color:var(--sd-color-dark) !important;border-color:var(--sd-color-dark) !important;border-width:1px !important;border-style:solid !important}.sd-btn-dark:hover,.sd-btn-dark:focus{color:var(--sd-color-dark-text) !important;background-color:var(--sd-color-dark-highlight) !important;border-color:var(--sd-color-dark-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-dark{color:var(--sd-color-dark) !important;border-color:var(--sd-color-dark) !important;border-width:1px !important;border-style:solid !important}.sd-btn-black,.sd-btn-outline-black:hover,.sd-btn-outline-black:focus{color:var(--sd-color-black-text) !important;background-color:var(--sd-color-black) !important;border-color:var(--sd-color-black) !important;border-width:1px !important;border-style:solid !important}.sd-btn-black:hover,.sd-btn-black:focus{color:var(--sd-color-black-text) !important;background-color:var(--sd-color-black-highlight) !important;border-color:var(--sd-color-black-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-black{color:var(--sd-color-black) !important;border-color:var(--sd-color-black) !important;border-width:1px !important;border-style:solid !important}.sd-btn-white,.sd-btn-outline-white:hover,.sd-btn-outline-white:focus{color:var(--sd-color-white-text) !important;background-color:var(--sd-color-white) !important;border-color:var(--sd-color-white) !important;border-width:1px !important;border-style:solid !important}.sd-btn-white:hover,.sd-btn-white:focus{color:var(--sd-color-white-text) !important;background-color:var(--sd-color-white-highlight) !important;border-color:var(--sd-color-white-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-white{color:var(--sd-color-white) !important;border-color:var(--sd-color-white) !important;border-width:1px !important;border-style:solid !important}.sd-stretched-link::after{position:absolute;top:0;right:0;bottom:0;left:0;z-index:1;content:""}.sd-hide-link-text{font-size:0}.sd-octicon,.sd-material-icon{display:inline-block;fill:currentColor;vertical-align:middle}.sd-avatar-xs{border-radius:50%;object-fit:cover;object-position:center;width:1rem;height:1rem}.sd-avatar-sm{border-radius:50%;object-fit:cover;object-position:center;width:3rem;height:3rem}.sd-avatar-md{border-radius:50%;object-fit:cover;object-position:center;width:5rem;height:5rem}.sd-avatar-lg{border-radius:50%;object-fit:cover;object-position:center;width:7rem;height:7rem}.sd-avatar-xl{border-radius:50%;object-fit:cover;object-position:center;width:10rem;height:10rem}.sd-avatar-inherit{border-radius:50%;object-fit:cover;object-position:center;width:inherit;height:inherit}.sd-avatar-initial{border-radius:50%;object-fit:cover;object-position:center;width:initial;height:initial}.sd-card{background-clip:border-box;background-color:var(--sd-color-card-background);border:1px solid var(--sd-color-card-border);border-radius:.25rem;color:var(--sd-color-card-text);display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;min-width:0;position:relative;word-wrap:break-word}.sd-card>hr{margin-left:0;margin-right:0}.sd-card-hover:hover{border-color:var(--sd-color-card-border-hover);transform:scale(1.01)}.sd-card-body{-ms-flex:1 1 auto;flex:1 1 auto;padding:1rem 1rem}.sd-card-title{margin-bottom:.5rem}.sd-card-subtitle{margin-top:-0.25rem;margin-bottom:0}.sd-card-text:last-child{margin-bottom:0}.sd-card-link:hover{text-decoration:none}.sd-card-link+.card-link{margin-left:1rem}.sd-card-header{padding:.5rem 1rem;margin-bottom:0;background-color:var(--sd-color-card-header);border-bottom:1px solid var(--sd-color-card-border)}.sd-card-header:first-child{border-radius:calc(0.25rem - 1px) calc(0.25rem - 1px) 0 0}.sd-card-footer{padding:.5rem 1rem;background-color:var(--sd-color-card-footer);border-top:1px solid var(--sd-color-card-border)}.sd-card-footer:last-child{border-radius:0 0 calc(0.25rem - 1px) calc(0.25rem - 1px)}.sd-card-header-tabs{margin-right:-0.5rem;margin-bottom:-0.5rem;margin-left:-0.5rem;border-bottom:0}.sd-card-header-pills{margin-right:-0.5rem;margin-left:-0.5rem}.sd-card-img-overlay{position:absolute;top:0;right:0;bottom:0;left:0;padding:1rem;border-radius:calc(0.25rem - 1px)}.sd-card-img,.sd-card-img-bottom,.sd-card-img-top{width:100%}.sd-card-img,.sd-card-img-top{border-top-left-radius:calc(0.25rem - 1px);border-top-right-radius:calc(0.25rem - 1px)}.sd-card-img,.sd-card-img-bottom{border-bottom-left-radius:calc(0.25rem - 1px);border-bottom-right-radius:calc(0.25rem - 1px)}.sd-cards-carousel{width:100%;display:flex;flex-wrap:nowrap;-ms-flex-direction:row;flex-direction:row;overflow-x:hidden;scroll-snap-type:x mandatory}.sd-cards-carousel.sd-show-scrollbar{overflow-x:auto}.sd-cards-carousel:hover,.sd-cards-carousel:focus{overflow-x:auto}.sd-cards-carousel>.sd-card{flex-shrink:0;scroll-snap-align:start}.sd-cards-carousel>.sd-card:not(:last-child){margin-right:3px}.sd-card-cols-1>.sd-card{width:90%}.sd-card-cols-2>.sd-card{width:45%}.sd-card-cols-3>.sd-card{width:30%}.sd-card-cols-4>.sd-card{width:22.5%}.sd-card-cols-5>.sd-card{width:18%}.sd-card-cols-6>.sd-card{width:15%}.sd-card-cols-7>.sd-card{width:12.8571428571%}.sd-card-cols-8>.sd-card{width:11.25%}.sd-card-cols-9>.sd-card{width:10%}.sd-card-cols-10>.sd-card{width:9%}.sd-card-cols-11>.sd-card{width:8.1818181818%}.sd-card-cols-12>.sd-card{width:7.5%}.sd-container,.sd-container-fluid,.sd-container-lg,.sd-container-md,.sd-container-sm,.sd-container-xl{margin-left:auto;margin-right:auto;padding-left:var(--sd-gutter-x, 0.75rem);padding-right:var(--sd-gutter-x, 0.75rem);width:100%}@media(min-width: 576px){.sd-container-sm,.sd-container{max-width:540px}}@media(min-width: 768px){.sd-container-md,.sd-container-sm,.sd-container{max-width:720px}}@media(min-width: 992px){.sd-container-lg,.sd-container-md,.sd-container-sm,.sd-container{max-width:960px}}@media(min-width: 1200px){.sd-container-xl,.sd-container-lg,.sd-container-md,.sd-container-sm,.sd-container{max-width:1140px}}.sd-row{--sd-gutter-x: 1.5rem;--sd-gutter-y: 0;display:-ms-flexbox;display:flex;-ms-flex-wrap:wrap;flex-wrap:wrap;margin-top:calc(var(--sd-gutter-y) * -1);margin-right:calc(var(--sd-gutter-x) * -0.5);margin-left:calc(var(--sd-gutter-x) * -0.5)}.sd-row>*{box-sizing:border-box;flex-shrink:0;width:100%;max-width:100%;padding-right:calc(var(--sd-gutter-x) * 0.5);padding-left:calc(var(--sd-gutter-x) * 0.5);margin-top:var(--sd-gutter-y)}.sd-col{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-auto>*{flex:0 0 auto;width:auto}.sd-row-cols-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}@media(min-width: 576px){.sd-col-sm{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-sm-auto{flex:1 0 auto;-ms-flex:1 0 auto;width:100%}.sd-row-cols-sm-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-sm-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-sm-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-sm-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-sm-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-sm-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-sm-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-sm-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-sm-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-sm-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-sm-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-sm-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}}@media(min-width: 768px){.sd-col-md{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-md-auto{flex:1 0 auto;-ms-flex:1 0 auto;width:100%}.sd-row-cols-md-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-md-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-md-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-md-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-md-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-md-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-md-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-md-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-md-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-md-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-md-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-md-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}}@media(min-width: 992px){.sd-col-lg{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-lg-auto{flex:1 0 auto;-ms-flex:1 0 auto;width:100%}.sd-row-cols-lg-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-lg-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-lg-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-lg-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-lg-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-lg-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-lg-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-lg-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-lg-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-lg-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-lg-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-lg-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}}@media(min-width: 1200px){.sd-col-xl{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-xl-auto{flex:1 0 auto;-ms-flex:1 0 auto;width:100%}.sd-row-cols-xl-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-xl-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-xl-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-xl-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-xl-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-xl-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-xl-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-xl-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-xl-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-xl-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-xl-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-xl-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}}.sd-col-auto{flex:0 0 auto;-ms-flex:0 0 auto;width:auto}.sd-col-1{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}.sd-col-2{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-col-3{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-col-4{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-col-5{flex:0 0 auto;-ms-flex:0 0 auto;width:41.6666666667%}.sd-col-6{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-col-7{flex:0 0 auto;-ms-flex:0 0 auto;width:58.3333333333%}.sd-col-8{flex:0 0 auto;-ms-flex:0 0 auto;width:66.6666666667%}.sd-col-9{flex:0 0 auto;-ms-flex:0 0 auto;width:75%}.sd-col-10{flex:0 0 auto;-ms-flex:0 0 auto;width:83.3333333333%}.sd-col-11{flex:0 0 auto;-ms-flex:0 0 auto;width:91.6666666667%}.sd-col-12{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-g-0,.sd-gy-0{--sd-gutter-y: 0}.sd-g-0,.sd-gx-0{--sd-gutter-x: 0}.sd-g-1,.sd-gy-1{--sd-gutter-y: 0.25rem}.sd-g-1,.sd-gx-1{--sd-gutter-x: 0.25rem}.sd-g-2,.sd-gy-2{--sd-gutter-y: 0.5rem}.sd-g-2,.sd-gx-2{--sd-gutter-x: 0.5rem}.sd-g-3,.sd-gy-3{--sd-gutter-y: 1rem}.sd-g-3,.sd-gx-3{--sd-gutter-x: 1rem}.sd-g-4,.sd-gy-4{--sd-gutter-y: 1.5rem}.sd-g-4,.sd-gx-4{--sd-gutter-x: 1.5rem}.sd-g-5,.sd-gy-5{--sd-gutter-y: 3rem}.sd-g-5,.sd-gx-5{--sd-gutter-x: 3rem}@media(min-width: 576px){.sd-col-sm-auto{-ms-flex:0 0 auto;flex:0 0 auto;width:auto}.sd-col-sm-1{-ms-flex:0 0 auto;flex:0 0 auto;width:8.3333333333%}.sd-col-sm-2{-ms-flex:0 0 auto;flex:0 0 auto;width:16.6666666667%}.sd-col-sm-3{-ms-flex:0 0 auto;flex:0 0 auto;width:25%}.sd-col-sm-4{-ms-flex:0 0 auto;flex:0 0 auto;width:33.3333333333%}.sd-col-sm-5{-ms-flex:0 0 auto;flex:0 0 auto;width:41.6666666667%}.sd-col-sm-6{-ms-flex:0 0 auto;flex:0 0 auto;width:50%}.sd-col-sm-7{-ms-flex:0 0 auto;flex:0 0 auto;width:58.3333333333%}.sd-col-sm-8{-ms-flex:0 0 auto;flex:0 0 auto;width:66.6666666667%}.sd-col-sm-9{-ms-flex:0 0 auto;flex:0 0 auto;width:75%}.sd-col-sm-10{-ms-flex:0 0 auto;flex:0 0 auto;width:83.3333333333%}.sd-col-sm-11{-ms-flex:0 0 auto;flex:0 0 auto;width:91.6666666667%}.sd-col-sm-12{-ms-flex:0 0 auto;flex:0 0 auto;width:100%}.sd-g-sm-0,.sd-gy-sm-0{--sd-gutter-y: 0}.sd-g-sm-0,.sd-gx-sm-0{--sd-gutter-x: 0}.sd-g-sm-1,.sd-gy-sm-1{--sd-gutter-y: 0.25rem}.sd-g-sm-1,.sd-gx-sm-1{--sd-gutter-x: 0.25rem}.sd-g-sm-2,.sd-gy-sm-2{--sd-gutter-y: 0.5rem}.sd-g-sm-2,.sd-gx-sm-2{--sd-gutter-x: 0.5rem}.sd-g-sm-3,.sd-gy-sm-3{--sd-gutter-y: 1rem}.sd-g-sm-3,.sd-gx-sm-3{--sd-gutter-x: 1rem}.sd-g-sm-4,.sd-gy-sm-4{--sd-gutter-y: 1.5rem}.sd-g-sm-4,.sd-gx-sm-4{--sd-gutter-x: 1.5rem}.sd-g-sm-5,.sd-gy-sm-5{--sd-gutter-y: 3rem}.sd-g-sm-5,.sd-gx-sm-5{--sd-gutter-x: 3rem}}@media(min-width: 768px){.sd-col-md-auto{-ms-flex:0 0 auto;flex:0 0 auto;width:auto}.sd-col-md-1{-ms-flex:0 0 auto;flex:0 0 auto;width:8.3333333333%}.sd-col-md-2{-ms-flex:0 0 auto;flex:0 0 auto;width:16.6666666667%}.sd-col-md-3{-ms-flex:0 0 auto;flex:0 0 auto;width:25%}.sd-col-md-4{-ms-flex:0 0 auto;flex:0 0 auto;width:33.3333333333%}.sd-col-md-5{-ms-flex:0 0 auto;flex:0 0 auto;width:41.6666666667%}.sd-col-md-6{-ms-flex:0 0 auto;flex:0 0 auto;width:50%}.sd-col-md-7{-ms-flex:0 0 auto;flex:0 0 auto;width:58.3333333333%}.sd-col-md-8{-ms-flex:0 0 auto;flex:0 0 auto;width:66.6666666667%}.sd-col-md-9{-ms-flex:0 0 auto;flex:0 0 auto;width:75%}.sd-col-md-10{-ms-flex:0 0 auto;flex:0 0 auto;width:83.3333333333%}.sd-col-md-11{-ms-flex:0 0 auto;flex:0 0 auto;width:91.6666666667%}.sd-col-md-12{-ms-flex:0 0 auto;flex:0 0 auto;width:100%}.sd-g-md-0,.sd-gy-md-0{--sd-gutter-y: 0}.sd-g-md-0,.sd-gx-md-0{--sd-gutter-x: 0}.sd-g-md-1,.sd-gy-md-1{--sd-gutter-y: 0.25rem}.sd-g-md-1,.sd-gx-md-1{--sd-gutter-x: 0.25rem}.sd-g-md-2,.sd-gy-md-2{--sd-gutter-y: 0.5rem}.sd-g-md-2,.sd-gx-md-2{--sd-gutter-x: 0.5rem}.sd-g-md-3,.sd-gy-md-3{--sd-gutter-y: 1rem}.sd-g-md-3,.sd-gx-md-3{--sd-gutter-x: 1rem}.sd-g-md-4,.sd-gy-md-4{--sd-gutter-y: 1.5rem}.sd-g-md-4,.sd-gx-md-4{--sd-gutter-x: 1.5rem}.sd-g-md-5,.sd-gy-md-5{--sd-gutter-y: 3rem}.sd-g-md-5,.sd-gx-md-5{--sd-gutter-x: 3rem}}@media(min-width: 992px){.sd-col-lg-auto{-ms-flex:0 0 auto;flex:0 0 auto;width:auto}.sd-col-lg-1{-ms-flex:0 0 auto;flex:0 0 auto;width:8.3333333333%}.sd-col-lg-2{-ms-flex:0 0 auto;flex:0 0 auto;width:16.6666666667%}.sd-col-lg-3{-ms-flex:0 0 auto;flex:0 0 auto;width:25%}.sd-col-lg-4{-ms-flex:0 0 auto;flex:0 0 auto;width:33.3333333333%}.sd-col-lg-5{-ms-flex:0 0 auto;flex:0 0 auto;width:41.6666666667%}.sd-col-lg-6{-ms-flex:0 0 auto;flex:0 0 auto;width:50%}.sd-col-lg-7{-ms-flex:0 0 auto;flex:0 0 auto;width:58.3333333333%}.sd-col-lg-8{-ms-flex:0 0 auto;flex:0 0 auto;width:66.6666666667%}.sd-col-lg-9{-ms-flex:0 0 auto;flex:0 0 auto;width:75%}.sd-col-lg-10{-ms-flex:0 0 auto;flex:0 0 auto;width:83.3333333333%}.sd-col-lg-11{-ms-flex:0 0 auto;flex:0 0 auto;width:91.6666666667%}.sd-col-lg-12{-ms-flex:0 0 auto;flex:0 0 auto;width:100%}.sd-g-lg-0,.sd-gy-lg-0{--sd-gutter-y: 0}.sd-g-lg-0,.sd-gx-lg-0{--sd-gutter-x: 0}.sd-g-lg-1,.sd-gy-lg-1{--sd-gutter-y: 0.25rem}.sd-g-lg-1,.sd-gx-lg-1{--sd-gutter-x: 0.25rem}.sd-g-lg-2,.sd-gy-lg-2{--sd-gutter-y: 0.5rem}.sd-g-lg-2,.sd-gx-lg-2{--sd-gutter-x: 0.5rem}.sd-g-lg-3,.sd-gy-lg-3{--sd-gutter-y: 1rem}.sd-g-lg-3,.sd-gx-lg-3{--sd-gutter-x: 1rem}.sd-g-lg-4,.sd-gy-lg-4{--sd-gutter-y: 1.5rem}.sd-g-lg-4,.sd-gx-lg-4{--sd-gutter-x: 1.5rem}.sd-g-lg-5,.sd-gy-lg-5{--sd-gutter-y: 3rem}.sd-g-lg-5,.sd-gx-lg-5{--sd-gutter-x: 3rem}}@media(min-width: 1200px){.sd-col-xl-auto{-ms-flex:0 0 auto;flex:0 0 auto;width:auto}.sd-col-xl-1{-ms-flex:0 0 auto;flex:0 0 auto;width:8.3333333333%}.sd-col-xl-2{-ms-flex:0 0 auto;flex:0 0 auto;width:16.6666666667%}.sd-col-xl-3{-ms-flex:0 0 auto;flex:0 0 auto;width:25%}.sd-col-xl-4{-ms-flex:0 0 auto;flex:0 0 auto;width:33.3333333333%}.sd-col-xl-5{-ms-flex:0 0 auto;flex:0 0 auto;width:41.6666666667%}.sd-col-xl-6{-ms-flex:0 0 auto;flex:0 0 auto;width:50%}.sd-col-xl-7{-ms-flex:0 0 auto;flex:0 0 auto;width:58.3333333333%}.sd-col-xl-8{-ms-flex:0 0 auto;flex:0 0 auto;width:66.6666666667%}.sd-col-xl-9{-ms-flex:0 0 auto;flex:0 0 auto;width:75%}.sd-col-xl-10{-ms-flex:0 0 auto;flex:0 0 auto;width:83.3333333333%}.sd-col-xl-11{-ms-flex:0 0 auto;flex:0 0 auto;width:91.6666666667%}.sd-col-xl-12{-ms-flex:0 0 auto;flex:0 0 auto;width:100%}.sd-g-xl-0,.sd-gy-xl-0{--sd-gutter-y: 0}.sd-g-xl-0,.sd-gx-xl-0{--sd-gutter-x: 0}.sd-g-xl-1,.sd-gy-xl-1{--sd-gutter-y: 0.25rem}.sd-g-xl-1,.sd-gx-xl-1{--sd-gutter-x: 0.25rem}.sd-g-xl-2,.sd-gy-xl-2{--sd-gutter-y: 0.5rem}.sd-g-xl-2,.sd-gx-xl-2{--sd-gutter-x: 0.5rem}.sd-g-xl-3,.sd-gy-xl-3{--sd-gutter-y: 1rem}.sd-g-xl-3,.sd-gx-xl-3{--sd-gutter-x: 1rem}.sd-g-xl-4,.sd-gy-xl-4{--sd-gutter-y: 1.5rem}.sd-g-xl-4,.sd-gx-xl-4{--sd-gutter-x: 1.5rem}.sd-g-xl-5,.sd-gy-xl-5{--sd-gutter-y: 3rem}.sd-g-xl-5,.sd-gx-xl-5{--sd-gutter-x: 3rem}}.sd-flex-row-reverse{flex-direction:row-reverse !important}details.sd-dropdown{position:relative;font-size:var(--sd-fontsize-dropdown)}details.sd-dropdown:hover{cursor:pointer}details.sd-dropdown .sd-summary-content{cursor:default}details.sd-dropdown summary.sd-summary-title{padding:.5em .6em .5em 1em;font-size:var(--sd-fontsize-dropdown-title);font-weight:var(--sd-fontweight-dropdown-title);user-select:none;-moz-user-select:none;-ms-user-select:none;-webkit-user-select:none;list-style:none;display:inline-flex;justify-content:space-between}details.sd-dropdown summary.sd-summary-title::-webkit-details-marker{display:none}details.sd-dropdown summary.sd-summary-title:focus{outline:none}details.sd-dropdown summary.sd-summary-title .sd-summary-icon{margin-right:.6em;display:inline-flex;align-items:center}details.sd-dropdown summary.sd-summary-title .sd-summary-icon svg{opacity:.8}details.sd-dropdown summary.sd-summary-title .sd-summary-text{flex-grow:1;line-height:1.5;padding-right:.5rem}details.sd-dropdown summary.sd-summary-title .sd-summary-state-marker{pointer-events:none;display:inline-flex;align-items:center}details.sd-dropdown summary.sd-summary-title .sd-summary-state-marker svg{opacity:.6}details.sd-dropdown summary.sd-summary-title:hover .sd-summary-state-marker svg{opacity:1;transform:scale(1.1)}details.sd-dropdown[open] summary .sd-octicon.no-title{visibility:hidden}details.sd-dropdown .sd-summary-chevron-right{transition:.25s}details.sd-dropdown[open]>.sd-summary-title .sd-summary-chevron-right{transform:rotate(90deg)}details.sd-dropdown[open]>.sd-summary-title .sd-summary-chevron-down{transform:rotate(180deg)}details.sd-dropdown:not([open]).sd-card{border:none}details.sd-dropdown:not([open])>.sd-card-header{border:1px solid var(--sd-color-card-border);border-radius:.25rem}details.sd-dropdown.sd-fade-in[open] summary~*{-moz-animation:sd-fade-in .5s ease-in-out;-webkit-animation:sd-fade-in .5s ease-in-out;animation:sd-fade-in .5s ease-in-out}details.sd-dropdown.sd-fade-in-slide-down[open] summary~*{-moz-animation:sd-fade-in .5s ease-in-out,sd-slide-down .5s ease-in-out;-webkit-animation:sd-fade-in .5s ease-in-out,sd-slide-down .5s ease-in-out;animation:sd-fade-in .5s ease-in-out,sd-slide-down .5s ease-in-out}.sd-col>.sd-dropdown{width:100%}.sd-summary-content>.sd-tab-set:first-child{margin-top:0}@keyframes sd-fade-in{0%{opacity:0}100%{opacity:1}}@keyframes sd-slide-down{0%{transform:translate(0, -10px)}100%{transform:translate(0, 0)}}.sd-tab-set{border-radius:.125rem;display:flex;flex-wrap:wrap;margin:1em 0;position:relative}.sd-tab-set>input{opacity:0;position:absolute}.sd-tab-set>input:checked+label{border-color:var(--sd-color-tabs-underline-active);color:var(--sd-color-tabs-label-active)}.sd-tab-set>input:checked+label+.sd-tab-content{display:block}.sd-tab-set>input:not(:checked)+label:hover{color:var(--sd-color-tabs-label-hover);border-color:var(--sd-color-tabs-underline-hover)}.sd-tab-set>input:focus+label{outline-style:auto}.sd-tab-set>input:not(.focus-visible)+label{outline:none;-webkit-tap-highlight-color:transparent}.sd-tab-set>label{border-bottom:.125rem solid transparent;margin-bottom:0;color:var(--sd-color-tabs-label-inactive);border-color:var(--sd-color-tabs-underline-inactive);cursor:pointer;font-size:var(--sd-fontsize-tabs-label);font-weight:700;padding:1em 1.25em .5em;transition:color 250ms;width:auto;z-index:1}html .sd-tab-set>label:hover{color:var(--sd-color-tabs-label-active)}.sd-col>.sd-tab-set{width:100%}.sd-tab-content{box-shadow:0 -0.0625rem var(--sd-color-tabs-overline),0 .0625rem var(--sd-color-tabs-underline);display:none;order:99;padding-bottom:.75rem;padding-top:.75rem;width:100%}.sd-tab-content>:first-child{margin-top:0 !important}.sd-tab-content>:last-child{margin-bottom:0 !important}.sd-tab-content>.sd-tab-set{margin:0}.sd-sphinx-override,.sd-sphinx-override *{-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box}.sd-sphinx-override p{margin-top:0}:root{--sd-color-primary: #0071bc;--sd-color-secondary: #6c757d;--sd-color-success: #28a745;--sd-color-info: #17a2b8;--sd-color-warning: #f0b37e;--sd-color-danger: #dc3545;--sd-color-light: #f8f9fa;--sd-color-muted: #6c757d;--sd-color-dark: #212529;--sd-color-black: black;--sd-color-white: white;--sd-color-primary-highlight: #0060a0;--sd-color-secondary-highlight: #5c636a;--sd-color-success-highlight: #228e3b;--sd-color-info-highlight: #148a9c;--sd-color-warning-highlight: #cc986b;--sd-color-danger-highlight: #bb2d3b;--sd-color-light-highlight: #d3d4d5;--sd-color-muted-highlight: #5c636a;--sd-color-dark-highlight: #1c1f23;--sd-color-black-highlight: black;--sd-color-white-highlight: #d9d9d9;--sd-color-primary-bg: rgba(0, 113, 188, 0.2);--sd-color-secondary-bg: rgba(108, 117, 125, 0.2);--sd-color-success-bg: rgba(40, 167, 69, 0.2);--sd-color-info-bg: rgba(23, 162, 184, 0.2);--sd-color-warning-bg: rgba(240, 179, 126, 0.2);--sd-color-danger-bg: rgba(220, 53, 69, 0.2);--sd-color-light-bg: rgba(248, 249, 250, 0.2);--sd-color-muted-bg: rgba(108, 117, 125, 0.2);--sd-color-dark-bg: rgba(33, 37, 41, 0.2);--sd-color-black-bg: rgba(0, 0, 0, 0.2);--sd-color-white-bg: rgba(255, 255, 255, 0.2);--sd-color-primary-text: #fff;--sd-color-secondary-text: #fff;--sd-color-success-text: #fff;--sd-color-info-text: #fff;--sd-color-warning-text: #212529;--sd-color-danger-text: #fff;--sd-color-light-text: #212529;--sd-color-muted-text: #fff;--sd-color-dark-text: #fff;--sd-color-black-text: #fff;--sd-color-white-text: #212529;--sd-color-shadow: rgba(0, 0, 0, 0.15);--sd-color-card-border: rgba(0, 0, 0, 0.125);--sd-color-card-border-hover: hsla(231, 99%, 66%, 1);--sd-color-card-background: transparent;--sd-color-card-text: inherit;--sd-color-card-header: transparent;--sd-color-card-footer: transparent;--sd-color-tabs-label-active: hsla(231, 99%, 66%, 1);--sd-color-tabs-label-hover: hsla(231, 99%, 66%, 1);--sd-color-tabs-label-inactive: hsl(0, 0%, 66%);--sd-color-tabs-underline-active: hsla(231, 99%, 66%, 1);--sd-color-tabs-underline-hover: rgba(178, 206, 245, 0.62);--sd-color-tabs-underline-inactive: transparent;--sd-color-tabs-overline: rgb(222, 222, 222);--sd-color-tabs-underline: rgb(222, 222, 222);--sd-fontsize-tabs-label: 1rem;--sd-fontsize-dropdown: inherit;--sd-fontsize-dropdown-title: 1rem;--sd-fontweight-dropdown-title: 700} diff --git a/_static/_sphinx_javascript_frameworks_compat.js b/_static/_sphinx_javascript_frameworks_compat.js new file mode 100644 index 000000000..81415803e --- /dev/null +++ b/_static/_sphinx_javascript_frameworks_compat.js @@ -0,0 +1,123 @@ +/* Compatability shim for jQuery and underscores.js. + * + * Copyright Sphinx contributors + * Released under the two clause BSD licence + */ + +/** + * small helper function to urldecode strings + * + * See https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/decodeURIComponent#Decoding_query_parameters_from_a_URL + */ +jQuery.urldecode = function(x) { + if (!x) { + return x + } + return decodeURIComponent(x.replace(/\+/g, ' ')); +}; + +/** + * small helper function to urlencode strings + */ +jQuery.urlencode = encodeURIComponent; + +/** + * This function returns the parsed url parameters of the + * current request. Multiple values per key are supported, + * it will always return arrays of strings for the value parts. + */ +jQuery.getQueryParameters = function(s) { + if (typeof s === 'undefined') + s = document.location.search; + var parts = s.substr(s.indexOf('?') + 1).split('&'); + var result = {}; + for (var i = 0; i < parts.length; i++) { + var tmp = parts[i].split('=', 2); + var key = jQuery.urldecode(tmp[0]); + var value = jQuery.urldecode(tmp[1]); + if (key in result) + result[key].push(value); + else + result[key] = [value]; + } + return result; +}; + +/** + * highlight a given string on a jquery object by wrapping it in + * span elements with the given class name. + */ +jQuery.fn.highlightText = function(text, className) { + function highlight(node, addItems) { + if (node.nodeType === 3) { + var val = node.nodeValue; + var pos = val.toLowerCase().indexOf(text); + if (pos >= 0 && + !jQuery(node.parentNode).hasClass(className) && + !jQuery(node.parentNode).hasClass("nohighlight")) { + var span; + var isInSVG = jQuery(node).closest("body, svg, foreignObject").is("svg"); + if (isInSVG) { + span = document.createElementNS("http://www.w3.org/2000/svg", "tspan"); + } else { + span = document.createElement("span"); + span.className = className; + } + span.appendChild(document.createTextNode(val.substr(pos, text.length))); + node.parentNode.insertBefore(span, node.parentNode.insertBefore( + document.createTextNode(val.substr(pos + text.length)), + node.nextSibling)); + node.nodeValue = val.substr(0, pos); + if (isInSVG) { + var rect = document.createElementNS("http://www.w3.org/2000/svg", "rect"); + var bbox = node.parentElement.getBBox(); + rect.x.baseVal.value = bbox.x; + rect.y.baseVal.value = bbox.y; + rect.width.baseVal.value = bbox.width; + rect.height.baseVal.value = bbox.height; + rect.setAttribute('class', className); + addItems.push({ + "parent": node.parentNode, + "target": rect}); + } + } + } + else if (!jQuery(node).is("button, select, textarea")) { + jQuery.each(node.childNodes, function() { + highlight(this, addItems); + }); + } + } + var addItems = []; + var result = this.each(function() { + highlight(this, addItems); + }); + for (var i = 0; i < addItems.length; ++i) { + jQuery(addItems[i].parent).before(addItems[i].target); + } + return result; +}; + +/* + * backward compatibility for jQuery.browser + * This will be supported until firefox bug is fixed. + */ +if (!jQuery.browser) { + jQuery.uaMatch = function(ua) { + ua = ua.toLowerCase(); + + var match = /(chrome)[ \/]([\w.]+)/.exec(ua) || + /(webkit)[ \/]([\w.]+)/.exec(ua) || + /(opera)(?:.*version|)[ \/]([\w.]+)/.exec(ua) || + /(msie) ([\w.]+)/.exec(ua) || + ua.indexOf("compatible") < 0 && /(mozilla)(?:.*? rv:([\w.]+)|)/.exec(ua) || + []; + + return { + browser: match[ 1 ] || "", + version: match[ 2 ] || "0" + }; + }; + jQuery.browser = {}; + jQuery.browser[jQuery.uaMatch(navigator.userAgent).browser] = true; +} diff --git a/_static/basic.css b/_static/basic.css new file mode 100644 index 000000000..f316efcb4 --- /dev/null +++ b/_static/basic.css @@ -0,0 +1,925 @@ +/* + * basic.css + * ~~~~~~~~~ + * + * Sphinx stylesheet -- basic theme. + * + * :copyright: Copyright 2007-2024 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ + +/* -- main layout ----------------------------------------------------------- */ + +div.clearer { + clear: both; +} + +div.section::after { + display: block; + content: ''; + clear: left; +} + +/* -- relbar ---------------------------------------------------------------- */ + +div.related { + width: 100%; + font-size: 90%; +} + +div.related h3 { + display: none; +} + +div.related ul { + margin: 0; + padding: 0 0 0 10px; + list-style: none; +} + +div.related li { + display: inline; +} + +div.related li.right { + float: right; + margin-right: 5px; +} + +/* -- sidebar --------------------------------------------------------------- */ + +div.sphinxsidebarwrapper { + padding: 10px 5px 0 10px; +} + +div.sphinxsidebar { + float: left; + width: 230px; + margin-left: -100%; + font-size: 90%; + word-wrap: break-word; + overflow-wrap : break-word; +} + +div.sphinxsidebar ul { + list-style: none; +} + +div.sphinxsidebar ul ul, +div.sphinxsidebar ul.want-points { + margin-left: 20px; + list-style: square; +} + +div.sphinxsidebar ul ul { + margin-top: 0; + margin-bottom: 0; +} + +div.sphinxsidebar form { + margin-top: 10px; +} + +div.sphinxsidebar input { + border: 1px solid #98dbcc; + font-family: sans-serif; + font-size: 1em; +} + +div.sphinxsidebar #searchbox form.search { + overflow: hidden; +} + +div.sphinxsidebar #searchbox input[type="text"] { + float: left; + width: 80%; + padding: 0.25em; + box-sizing: border-box; +} + +div.sphinxsidebar #searchbox input[type="submit"] { + float: left; + width: 20%; + border-left: none; + padding: 0.25em; + box-sizing: border-box; +} + + +img { + border: 0; + max-width: 100%; +} + +/* -- search page ----------------------------------------------------------- */ + +ul.search { + margin: 10px 0 0 20px; + padding: 0; +} + +ul.search li { + padding: 5px 0 5px 20px; + background-image: url(file.png); + background-repeat: no-repeat; + background-position: 0 7px; +} + +ul.search li a { + font-weight: bold; +} + +ul.search li p.context { + color: #888; + margin: 2px 0 0 30px; + text-align: left; +} + +ul.keywordmatches li.goodmatch a { + font-weight: bold; +} + +/* -- index page ------------------------------------------------------------ */ + +table.contentstable { + width: 90%; + margin-left: auto; + margin-right: auto; +} + +table.contentstable p.biglink { + line-height: 150%; +} + +a.biglink { + font-size: 1.3em; +} + +span.linkdescr { + font-style: italic; + padding-top: 5px; + font-size: 90%; +} + +/* -- general index --------------------------------------------------------- */ + +table.indextable { + width: 100%; +} + +table.indextable td { + text-align: left; + vertical-align: top; +} + +table.indextable ul { + margin-top: 0; + margin-bottom: 0; + list-style-type: none; +} + +table.indextable > tbody > tr > td > ul { + padding-left: 0em; +} + +table.indextable tr.pcap { + height: 10px; +} + +table.indextable tr.cap { + margin-top: 10px; + background-color: #f2f2f2; +} + +img.toggler { + margin-right: 3px; + margin-top: 3px; + cursor: pointer; +} + +div.modindex-jumpbox { + border-top: 1px solid #ddd; + border-bottom: 1px solid #ddd; + margin: 1em 0 1em 0; + padding: 0.4em; +} + +div.genindex-jumpbox { + border-top: 1px solid #ddd; + border-bottom: 1px solid #ddd; + margin: 1em 0 1em 0; + padding: 0.4em; +} + +/* -- domain module index --------------------------------------------------- */ + +table.modindextable td { + padding: 2px; + border-collapse: collapse; +} + +/* -- general body styles --------------------------------------------------- */ + +div.body { + min-width: 360px; + max-width: 800px; +} + +div.body p, div.body dd, div.body li, div.body blockquote { + -moz-hyphens: auto; + -ms-hyphens: auto; + -webkit-hyphens: auto; + hyphens: auto; +} + +a.headerlink { + visibility: hidden; +} + +a:visited { + color: #551A8B; +} + +h1:hover > a.headerlink, +h2:hover > a.headerlink, +h3:hover > a.headerlink, +h4:hover > a.headerlink, +h5:hover > a.headerlink, +h6:hover > a.headerlink, +dt:hover > a.headerlink, +caption:hover > a.headerlink, +p.caption:hover > a.headerlink, +div.code-block-caption:hover > a.headerlink { + visibility: visible; +} + +div.body p.caption { + text-align: inherit; +} + +div.body td { + text-align: left; +} + +.first { + margin-top: 0 !important; +} + +p.rubric { + margin-top: 30px; + font-weight: bold; +} + +img.align-left, figure.align-left, .figure.align-left, object.align-left { + clear: left; + float: left; + margin-right: 1em; +} + +img.align-right, figure.align-right, .figure.align-right, object.align-right { + clear: right; + float: right; + margin-left: 1em; +} + +img.align-center, figure.align-center, .figure.align-center, object.align-center { + display: block; + margin-left: auto; + margin-right: auto; +} + +img.align-default, figure.align-default, .figure.align-default { + display: block; + margin-left: auto; + margin-right: auto; +} + +.align-left { + text-align: left; +} + +.align-center { + text-align: center; +} + +.align-default { + text-align: center; +} + +.align-right { + text-align: right; +} + +/* -- sidebars -------------------------------------------------------------- */ + +div.sidebar, +aside.sidebar { + margin: 0 0 0.5em 1em; + border: 1px solid #ddb; + padding: 7px; + background-color: #ffe; + width: 40%; + float: right; + clear: right; + overflow-x: auto; +} + +p.sidebar-title { + font-weight: bold; +} + +nav.contents, +aside.topic, +div.admonition, div.topic, blockquote { + clear: left; +} + +/* -- topics ---------------------------------------------------------------- */ + +nav.contents, +aside.topic, +div.topic { + border: 1px solid #ccc; + padding: 7px; + margin: 10px 0 10px 0; +} + +p.topic-title { + font-size: 1.1em; + font-weight: bold; + margin-top: 10px; +} + +/* -- admonitions ----------------------------------------------------------- */ + +div.admonition { + margin-top: 10px; + margin-bottom: 10px; + padding: 7px; +} + +div.admonition dt { + font-weight: bold; +} + +p.admonition-title { + margin: 0px 10px 5px 0px; + font-weight: bold; +} + +div.body p.centered { + text-align: center; + margin-top: 25px; +} + +/* -- content of sidebars/topics/admonitions -------------------------------- */ + +div.sidebar > :last-child, +aside.sidebar > :last-child, +nav.contents > :last-child, +aside.topic > :last-child, +div.topic > :last-child, +div.admonition > :last-child { + margin-bottom: 0; +} + +div.sidebar::after, +aside.sidebar::after, +nav.contents::after, +aside.topic::after, +div.topic::after, +div.admonition::after, +blockquote::after { + display: block; + content: ''; + clear: both; +} + +/* -- tables ---------------------------------------------------------------- */ + +table.docutils { + margin-top: 10px; + margin-bottom: 10px; + border: 0; + border-collapse: collapse; +} + +table.align-center { + margin-left: auto; + margin-right: auto; +} + +table.align-default { + margin-left: auto; + margin-right: auto; +} + +table caption span.caption-number { + font-style: italic; +} + +table caption span.caption-text { +} + +table.docutils td, table.docutils th { + padding: 1px 8px 1px 5px; + border-top: 0; + border-left: 0; + border-right: 0; + border-bottom: 1px solid #aaa; +} + +th { + text-align: left; + padding-right: 5px; +} + +table.citation { + border-left: solid 1px gray; + margin-left: 1px; +} + +table.citation td { + border-bottom: none; +} + +th > :first-child, +td > :first-child { + margin-top: 0px; +} + +th > :last-child, +td > :last-child { + margin-bottom: 0px; +} + +/* -- figures --------------------------------------------------------------- */ + +div.figure, figure { + margin: 0.5em; + padding: 0.5em; +} + +div.figure p.caption, figcaption { + padding: 0.3em; +} + +div.figure p.caption span.caption-number, +figcaption span.caption-number { + font-style: italic; +} + +div.figure p.caption span.caption-text, +figcaption span.caption-text { +} + +/* -- field list styles ----------------------------------------------------- */ + +table.field-list td, table.field-list th { + border: 0 !important; +} + +.field-list ul { + margin: 0; + padding-left: 1em; +} + +.field-list p { + margin: 0; +} + +.field-name { + -moz-hyphens: manual; + -ms-hyphens: manual; + -webkit-hyphens: manual; + hyphens: manual; +} + +/* -- hlist styles ---------------------------------------------------------- */ + +table.hlist { + margin: 1em 0; +} + +table.hlist td { + vertical-align: top; +} + +/* -- object description styles --------------------------------------------- */ + +.sig { + font-family: 'Consolas', 'Menlo', 'DejaVu Sans Mono', 'Bitstream Vera Sans Mono', monospace; +} + +.sig-name, code.descname { + background-color: transparent; + font-weight: bold; +} + +.sig-name { + font-size: 1.1em; +} + +code.descname { + font-size: 1.2em; +} + +.sig-prename, code.descclassname { + background-color: transparent; +} + +.optional { + font-size: 1.3em; +} + +.sig-paren { + font-size: larger; +} + +.sig-param.n { + font-style: italic; +} + +/* C++ specific styling */ + +.sig-inline.c-texpr, +.sig-inline.cpp-texpr { + font-family: unset; +} + +.sig.c .k, .sig.c .kt, +.sig.cpp .k, .sig.cpp .kt { + color: #0033B3; +} + +.sig.c .m, +.sig.cpp .m { + color: #1750EB; +} + +.sig.c .s, .sig.c .sc, +.sig.cpp .s, .sig.cpp .sc { + color: #067D17; +} + + +/* -- other body styles ----------------------------------------------------- */ + +ol.arabic { + list-style: decimal; +} + +ol.loweralpha { + list-style: lower-alpha; +} + +ol.upperalpha { + list-style: upper-alpha; +} + +ol.lowerroman { + list-style: lower-roman; +} + +ol.upperroman { + list-style: upper-roman; +} + +:not(li) > ol > li:first-child > :first-child, +:not(li) > ul > li:first-child > :first-child { + margin-top: 0px; +} + +:not(li) > ol > li:last-child > :last-child, +:not(li) > ul > li:last-child > :last-child { + margin-bottom: 0px; +} + +ol.simple ol p, +ol.simple ul p, +ul.simple ol p, +ul.simple ul p { + margin-top: 0; +} + +ol.simple > li:not(:first-child) > p, +ul.simple > li:not(:first-child) > p { + margin-top: 0; +} + +ol.simple p, +ul.simple p { + margin-bottom: 0; +} + +aside.footnote > span, +div.citation > span { + float: left; +} +aside.footnote > span:last-of-type, +div.citation > span:last-of-type { + padding-right: 0.5em; +} +aside.footnote > p { + margin-left: 2em; +} +div.citation > p { + margin-left: 4em; +} +aside.footnote > p:last-of-type, +div.citation > p:last-of-type { + margin-bottom: 0em; +} +aside.footnote > p:last-of-type:after, +div.citation > p:last-of-type:after { + content: ""; + clear: both; +} + +dl.field-list { + display: grid; + grid-template-columns: fit-content(30%) auto; +} + +dl.field-list > dt { + font-weight: bold; + word-break: break-word; + padding-left: 0.5em; + padding-right: 5px; +} + +dl.field-list > dd { + padding-left: 0.5em; + margin-top: 0em; + margin-left: 0em; + margin-bottom: 0em; +} + +dl { + margin-bottom: 15px; +} + +dd > :first-child { + margin-top: 0px; +} + +dd ul, dd table { + margin-bottom: 10px; +} + +dd { + margin-top: 3px; + margin-bottom: 10px; + margin-left: 30px; +} + +.sig dd { + margin-top: 0px; + margin-bottom: 0px; +} + +.sig dl { + margin-top: 0px; + margin-bottom: 0px; +} + +dl > dd:last-child, +dl > dd:last-child > :last-child { + margin-bottom: 0; +} + +dt:target, span.highlighted { + background-color: #fbe54e; +} + +rect.highlighted { + fill: #fbe54e; +} + +dl.glossary dt { + font-weight: bold; + font-size: 1.1em; +} + +.versionmodified { + font-style: italic; +} + +.system-message { + background-color: #fda; + padding: 5px; + border: 3px solid red; +} + +.footnote:target { + background-color: #ffa; +} + +.line-block { + display: block; + margin-top: 1em; + margin-bottom: 1em; +} + +.line-block .line-block { + margin-top: 0; + margin-bottom: 0; + margin-left: 1.5em; +} + +.guilabel, .menuselection { + font-family: sans-serif; +} + +.accelerator { + text-decoration: underline; +} + +.classifier { + font-style: oblique; +} + +.classifier:before { + font-style: normal; + margin: 0 0.5em; + content: ":"; + display: inline-block; +} + +abbr, acronym { + border-bottom: dotted 1px; + cursor: help; +} + +.translated { + background-color: rgba(207, 255, 207, 0.2) +} + +.untranslated { + background-color: rgba(255, 207, 207, 0.2) +} + +/* -- code displays --------------------------------------------------------- */ + +pre { + overflow: auto; + overflow-y: hidden; /* fixes display issues on Chrome browsers */ +} + +pre, div[class*="highlight-"] { + clear: both; +} + +span.pre { + -moz-hyphens: none; + -ms-hyphens: none; + -webkit-hyphens: none; + hyphens: none; + white-space: nowrap; +} + +div[class*="highlight-"] { + margin: 1em 0; +} + +td.linenos pre { + border: 0; + background-color: transparent; + color: #aaa; +} + +table.highlighttable { + display: block; +} + +table.highlighttable tbody { + display: block; +} + +table.highlighttable tr { + display: flex; +} + +table.highlighttable td { + margin: 0; + padding: 0; +} + +table.highlighttable td.linenos { + padding-right: 0.5em; +} + +table.highlighttable td.code { + flex: 1; + overflow: hidden; +} + +.highlight .hll { + display: block; +} + +div.highlight pre, +table.highlighttable pre { + margin: 0; +} + +div.code-block-caption + div { + margin-top: 0; +} + +div.code-block-caption { + margin-top: 1em; + padding: 2px 5px; + font-size: small; +} + +div.code-block-caption code { + background-color: transparent; +} + +table.highlighttable td.linenos, +span.linenos, +div.highlight span.gp { /* gp: Generic.Prompt */ + user-select: none; + -webkit-user-select: text; /* Safari fallback only */ + -webkit-user-select: none; /* Chrome/Safari */ + -moz-user-select: none; /* Firefox */ + -ms-user-select: none; /* IE10+ */ +} + +div.code-block-caption span.caption-number { + padding: 0.1em 0.3em; + font-style: italic; +} + +div.code-block-caption span.caption-text { +} + +div.literal-block-wrapper { + margin: 1em 0; +} + +code.xref, a code { + background-color: transparent; + font-weight: bold; +} + +h1 code, h2 code, h3 code, h4 code, h5 code, h6 code { + background-color: transparent; +} + +.viewcode-link { + float: right; +} + +.viewcode-back { + float: right; + font-family: sans-serif; +} + +div.viewcode-block:target { + margin: -1px -10px; + padding: 0 10px; +} + +/* -- math display ---------------------------------------------------------- */ + +img.math { + vertical-align: middle; +} + +div.body div.math p { + text-align: center; +} + +span.eqno { + float: right; +} + +span.eqno a.headerlink { + position: absolute; + z-index: 1; +} + +div.math:hover a.headerlink { + visibility: visible; +} + +/* -- printout stylesheet --------------------------------------------------- */ + +@media print { + div.document, + div.documentwrapper, + div.bodywrapper { + margin: 0 !important; + width: 100%; + } + + div.sphinxsidebar, + div.related, + div.footer, + #top-link { + display: none; + } +} \ No newline at end of file diff --git a/_static/check-solid.svg b/_static/check-solid.svg new file mode 100644 index 000000000..92fad4b5c --- /dev/null +++ b/_static/check-solid.svg @@ -0,0 +1,4 @@ + + + + diff --git a/_static/clipboard.min.js b/_static/clipboard.min.js new file mode 100644 index 000000000..54b3c4638 --- /dev/null +++ b/_static/clipboard.min.js @@ -0,0 +1,7 @@ +/*! + * clipboard.js v2.0.8 + * https://clipboardjs.com/ + * + * Licensed MIT © Zeno Rocha + */ +!function(t,e){"object"==typeof exports&&"object"==typeof module?module.exports=e():"function"==typeof define&&define.amd?define([],e):"object"==typeof exports?exports.ClipboardJS=e():t.ClipboardJS=e()}(this,function(){return n={686:function(t,e,n){"use strict";n.d(e,{default:function(){return o}});var e=n(279),i=n.n(e),e=n(370),u=n.n(e),e=n(817),c=n.n(e);function a(t){try{return document.execCommand(t)}catch(t){return}}var f=function(t){t=c()(t);return a("cut"),t};var l=function(t){var e,n,o,r=1 + + + + diff --git a/_static/copybutton.css b/_static/copybutton.css new file mode 100644 index 000000000..f1916ec7d --- /dev/null +++ b/_static/copybutton.css @@ -0,0 +1,94 @@ +/* Copy buttons */ +button.copybtn { + position: absolute; + display: flex; + top: .3em; + right: .3em; + width: 1.7em; + height: 1.7em; + opacity: 0; + transition: opacity 0.3s, border .3s, background-color .3s; + user-select: none; + padding: 0; + border: none; + outline: none; + border-radius: 0.4em; + /* The colors that GitHub uses */ + border: #1b1f2426 1px solid; + background-color: #f6f8fa; + color: #57606a; +} + +button.copybtn.success { + border-color: #22863a; + color: #22863a; +} + +button.copybtn svg { + stroke: currentColor; + width: 1.5em; + height: 1.5em; + padding: 0.1em; +} + +div.highlight { + position: relative; +} + +/* Show the copybutton */ +.highlight:hover button.copybtn, button.copybtn.success { + opacity: 1; +} + +.highlight button.copybtn:hover { + background-color: rgb(235, 235, 235); +} + +.highlight button.copybtn:active { + background-color: rgb(187, 187, 187); +} + +/** + * A minimal CSS-only tooltip copied from: + * https://codepen.io/mildrenben/pen/rVBrpK + * + * To use, write HTML like the following: + * + *

Short

+ */ + .o-tooltip--left { + position: relative; + } + + .o-tooltip--left:after { + opacity: 0; + visibility: hidden; + position: absolute; + content: attr(data-tooltip); + padding: .2em; + font-size: .8em; + left: -.2em; + background: grey; + color: white; + white-space: nowrap; + z-index: 2; + border-radius: 2px; + transform: translateX(-102%) translateY(0); + transition: opacity 0.2s cubic-bezier(0.64, 0.09, 0.08, 1), transform 0.2s cubic-bezier(0.64, 0.09, 0.08, 1); +} + +.o-tooltip--left:hover:after { + display: block; + opacity: 1; + visibility: visible; + transform: translateX(-100%) translateY(0); + transition: opacity 0.2s cubic-bezier(0.64, 0.09, 0.08, 1), transform 0.2s cubic-bezier(0.64, 0.09, 0.08, 1); + transition-delay: .5s; +} + +/* By default the copy button shouldn't show up when printing a page */ +@media print { + button.copybtn { + display: none; + } +} diff --git a/_static/copybutton.js b/_static/copybutton.js new file mode 100644 index 000000000..2ea7ff3e2 --- /dev/null +++ b/_static/copybutton.js @@ -0,0 +1,248 @@ +// Localization support +const messages = { + 'en': { + 'copy': 'Copy', + 'copy_to_clipboard': 'Copy to clipboard', + 'copy_success': 'Copied!', + 'copy_failure': 'Failed to copy', + }, + 'es' : { + 'copy': 'Copiar', + 'copy_to_clipboard': 'Copiar al portapapeles', + 'copy_success': '¡Copiado!', + 'copy_failure': 'Error al copiar', + }, + 'de' : { + 'copy': 'Kopieren', + 'copy_to_clipboard': 'In die Zwischenablage kopieren', + 'copy_success': 'Kopiert!', + 'copy_failure': 'Fehler beim Kopieren', + }, + 'fr' : { + 'copy': 'Copier', + 'copy_to_clipboard': 'Copier dans le presse-papier', + 'copy_success': 'Copié !', + 'copy_failure': 'Échec de la copie', + }, + 'ru': { + 'copy': 'Скопировать', + 'copy_to_clipboard': 'Скопировать в буфер', + 'copy_success': 'Скопировано!', + 'copy_failure': 'Не удалось скопировать', + }, + 'zh-CN': { + 'copy': '复制', + 'copy_to_clipboard': '复制到剪贴板', + 'copy_success': '复制成功!', + 'copy_failure': '复制失败', + }, + 'it' : { + 'copy': 'Copiare', + 'copy_to_clipboard': 'Copiato negli appunti', + 'copy_success': 'Copiato!', + 'copy_failure': 'Errore durante la copia', + } +} + +let locale = 'en' +if( document.documentElement.lang !== undefined + && messages[document.documentElement.lang] !== undefined ) { + locale = document.documentElement.lang +} + +let doc_url_root = DOCUMENTATION_OPTIONS.URL_ROOT; +if (doc_url_root == '#') { + doc_url_root = ''; +} + +/** + * SVG files for our copy buttons + */ +let iconCheck = ` + ${messages[locale]['copy_success']} + + +` + +// If the user specified their own SVG use that, otherwise use the default +let iconCopy = ``; +if (!iconCopy) { + iconCopy = ` + ${messages[locale]['copy_to_clipboard']} + + + +` +} + +/** + * Set up copy/paste for code blocks + */ + +const runWhenDOMLoaded = cb => { + if (document.readyState != 'loading') { + cb() + } else if (document.addEventListener) { + document.addEventListener('DOMContentLoaded', cb) + } else { + document.attachEvent('onreadystatechange', function() { + if (document.readyState == 'complete') cb() + }) + } +} + +const codeCellId = index => `codecell${index}` + +// Clears selected text since ClipboardJS will select the text when copying +const clearSelection = () => { + if (window.getSelection) { + window.getSelection().removeAllRanges() + } else if (document.selection) { + document.selection.empty() + } +} + +// Changes tooltip text for a moment, then changes it back +// We want the timeout of our `success` class to be a bit shorter than the +// tooltip and icon change, so that we can hide the icon before changing back. +var timeoutIcon = 2000; +var timeoutSuccessClass = 1500; + +const temporarilyChangeTooltip = (el, oldText, newText) => { + el.setAttribute('data-tooltip', newText) + el.classList.add('success') + // Remove success a little bit sooner than we change the tooltip + // So that we can use CSS to hide the copybutton first + setTimeout(() => el.classList.remove('success'), timeoutSuccessClass) + setTimeout(() => el.setAttribute('data-tooltip', oldText), timeoutIcon) +} + +// Changes the copy button icon for two seconds, then changes it back +const temporarilyChangeIcon = (el) => { + el.innerHTML = iconCheck; + setTimeout(() => {el.innerHTML = iconCopy}, timeoutIcon) +} + +const addCopyButtonToCodeCells = () => { + // If ClipboardJS hasn't loaded, wait a bit and try again. This + // happens because we load ClipboardJS asynchronously. + if (window.ClipboardJS === undefined) { + setTimeout(addCopyButtonToCodeCells, 250) + return + } + + // Add copybuttons to all of our code cells + const COPYBUTTON_SELECTOR = 'div.highlight pre'; + const codeCells = document.querySelectorAll(COPYBUTTON_SELECTOR) + codeCells.forEach((codeCell, index) => { + const id = codeCellId(index) + codeCell.setAttribute('id', id) + + const clipboardButton = id => + `` + codeCell.insertAdjacentHTML('afterend', clipboardButton(id)) + }) + +function escapeRegExp(string) { + return string.replace(/[.*+?^${}()|[\]\\]/g, '\\$&'); // $& means the whole matched string +} + +/** + * Removes excluded text from a Node. + * + * @param {Node} target Node to filter. + * @param {string} exclude CSS selector of nodes to exclude. + * @returns {DOMString} Text from `target` with text removed. + */ +function filterText(target, exclude) { + const clone = target.cloneNode(true); // clone as to not modify the live DOM + if (exclude) { + // remove excluded nodes + clone.querySelectorAll(exclude).forEach(node => node.remove()); + } + return clone.innerText; +} + +// Callback when a copy button is clicked. Will be passed the node that was clicked +// should then grab the text and replace pieces of text that shouldn't be used in output +function formatCopyText(textContent, copybuttonPromptText, isRegexp = false, onlyCopyPromptLines = true, removePrompts = true, copyEmptyLines = true, lineContinuationChar = "", hereDocDelim = "") { + var regexp; + var match; + + // Do we check for line continuation characters and "HERE-documents"? + var useLineCont = !!lineContinuationChar + var useHereDoc = !!hereDocDelim + + // create regexp to capture prompt and remaining line + if (isRegexp) { + regexp = new RegExp('^(' + copybuttonPromptText + ')(.*)') + } else { + regexp = new RegExp('^(' + escapeRegExp(copybuttonPromptText) + ')(.*)') + } + + const outputLines = []; + var promptFound = false; + var gotLineCont = false; + var gotHereDoc = false; + const lineGotPrompt = []; + for (const line of textContent.split('\n')) { + match = line.match(regexp) + if (match || gotLineCont || gotHereDoc) { + promptFound = regexp.test(line) + lineGotPrompt.push(promptFound) + if (removePrompts && promptFound) { + outputLines.push(match[2]) + } else { + outputLines.push(line) + } + gotLineCont = line.endsWith(lineContinuationChar) & useLineCont + if (line.includes(hereDocDelim) & useHereDoc) + gotHereDoc = !gotHereDoc + } else if (!onlyCopyPromptLines) { + outputLines.push(line) + } else if (copyEmptyLines && line.trim() === '') { + outputLines.push(line) + } + } + + // If no lines with the prompt were found then just use original lines + if (lineGotPrompt.some(v => v === true)) { + textContent = outputLines.join('\n'); + } + + // Remove a trailing newline to avoid auto-running when pasting + if (textContent.endsWith("\n")) { + textContent = textContent.slice(0, -1) + } + return textContent +} + + +var copyTargetText = (trigger) => { + var target = document.querySelector(trigger.attributes['data-clipboard-target'].value); + + // get filtered text + let exclude = '.linenos'; + + let text = filterText(target, exclude); + return formatCopyText(text, '', false, true, true, true, '', '') +} + + // Initialize with a callback so we can modify the text before copy + const clipboard = new ClipboardJS('.copybtn', {text: copyTargetText}) + + // Update UI with error/success messages + clipboard.on('success', event => { + clearSelection() + temporarilyChangeTooltip(event.trigger, messages[locale]['copy'], messages[locale]['copy_success']) + temporarilyChangeIcon(event.trigger) + }) + + clipboard.on('error', event => { + temporarilyChangeTooltip(event.trigger, messages[locale]['copy'], messages[locale]['copy_failure']) + }) +} + +runWhenDOMLoaded(addCopyButtonToCodeCells) \ No newline at end of file diff --git a/_static/copybutton_funcs.js b/_static/copybutton_funcs.js new file mode 100644 index 000000000..dbe1aaad7 --- /dev/null +++ b/_static/copybutton_funcs.js @@ -0,0 +1,73 @@ +function escapeRegExp(string) { + return string.replace(/[.*+?^${}()|[\]\\]/g, '\\$&'); // $& means the whole matched string +} + +/** + * Removes excluded text from a Node. + * + * @param {Node} target Node to filter. + * @param {string} exclude CSS selector of nodes to exclude. + * @returns {DOMString} Text from `target` with text removed. + */ +export function filterText(target, exclude) { + const clone = target.cloneNode(true); // clone as to not modify the live DOM + if (exclude) { + // remove excluded nodes + clone.querySelectorAll(exclude).forEach(node => node.remove()); + } + return clone.innerText; +} + +// Callback when a copy button is clicked. Will be passed the node that was clicked +// should then grab the text and replace pieces of text that shouldn't be used in output +export function formatCopyText(textContent, copybuttonPromptText, isRegexp = false, onlyCopyPromptLines = true, removePrompts = true, copyEmptyLines = true, lineContinuationChar = "", hereDocDelim = "") { + var regexp; + var match; + + // Do we check for line continuation characters and "HERE-documents"? + var useLineCont = !!lineContinuationChar + var useHereDoc = !!hereDocDelim + + // create regexp to capture prompt and remaining line + if (isRegexp) { + regexp = new RegExp('^(' + copybuttonPromptText + ')(.*)') + } else { + regexp = new RegExp('^(' + escapeRegExp(copybuttonPromptText) + ')(.*)') + } + + const outputLines = []; + var promptFound = false; + var gotLineCont = false; + var gotHereDoc = false; + const lineGotPrompt = []; + for (const line of textContent.split('\n')) { + match = line.match(regexp) + if (match || gotLineCont || gotHereDoc) { + promptFound = regexp.test(line) + lineGotPrompt.push(promptFound) + if (removePrompts && promptFound) { + outputLines.push(match[2]) + } else { + outputLines.push(line) + } + gotLineCont = line.endsWith(lineContinuationChar) & useLineCont + if (line.includes(hereDocDelim) & useHereDoc) + gotHereDoc = !gotHereDoc + } else if (!onlyCopyPromptLines) { + outputLines.push(line) + } else if (copyEmptyLines && line.trim() === '') { + outputLines.push(line) + } + } + + // If no lines with the prompt were found then just use original lines + if (lineGotPrompt.some(v => v === true)) { + textContent = outputLines.join('\n'); + } + + // Remove a trailing newline to avoid auto-running when pasting + if (textContent.endsWith("\n")) { + textContent = textContent.slice(0, -1) + } + return textContent +} diff --git a/_static/css/badge_only.css b/_static/css/badge_only.css new file mode 100644 index 000000000..c718cee44 --- /dev/null +++ b/_static/css/badge_only.css @@ -0,0 +1 @@ +.clearfix{*zoom:1}.clearfix:after,.clearfix:before{display:table;content:""}.clearfix:after{clear:both}@font-face{font-family:FontAwesome;font-style:normal;font-weight:400;src:url(fonts/fontawesome-webfont.eot?674f50d287a8c48dc19ba404d20fe713?#iefix) format("embedded-opentype"),url(fonts/fontawesome-webfont.woff2?af7ae505a9eed503f8b8e6982036873e) format("woff2"),url(fonts/fontawesome-webfont.woff?fee66e712a8a08eef5805a46892932ad) format("woff"),url(fonts/fontawesome-webfont.ttf?b06871f281fee6b241d60582ae9369b9) format("truetype"),url(fonts/fontawesome-webfont.svg?912ec66d7572ff821749319396470bde#FontAwesome) format("svg")}.fa:before{font-family:FontAwesome;font-style:normal;font-weight:400;line-height:1}.fa:before,a .fa{text-decoration:inherit}.fa:before,a .fa,li .fa{display:inline-block}li .fa-large:before{width:1.875em}ul.fas{list-style-type:none;margin-left:2em;text-indent:-.8em}ul.fas li .fa{width:.8em}ul.fas li .fa-large:before{vertical-align:baseline}.fa-book:before,.icon-book:before{content:"\f02d"}.fa-caret-down:before,.icon-caret-down:before{content:"\f0d7"}.fa-caret-up:before,.icon-caret-up:before{content:"\f0d8"}.fa-caret-left:before,.icon-caret-left:before{content:"\f0d9"}.fa-caret-right:before,.icon-caret-right:before{content:"\f0da"}.rst-versions{position:fixed;bottom:0;left:0;width:300px;color:#fcfcfc;background:#1f1d1d;font-family:Lato,proxima-nova,Helvetica Neue,Arial,sans-serif;z-index:400}.rst-versions a{color:#2980b9;text-decoration:none}.rst-versions .rst-badge-small{display:none}.rst-versions .rst-current-version{padding:12px;background-color:#272525;display:block;text-align:right;font-size:90%;cursor:pointer;color:#27ae60}.rst-versions .rst-current-version:after{clear:both;content:"";display:block}.rst-versions .rst-current-version .fa{color:#fcfcfc}.rst-versions .rst-current-version .fa-book,.rst-versions .rst-current-version .icon-book{float:left}.rst-versions .rst-current-version.rst-out-of-date{background-color:#e74c3c;color:#fff}.rst-versions .rst-current-version.rst-active-old-version{background-color:#f1c40f;color:#000}.rst-versions.shift-up{height:auto;max-height:100%;overflow-y:scroll}.rst-versions.shift-up .rst-other-versions{display:block}.rst-versions .rst-other-versions{font-size:90%;padding:12px;color:grey;display:none}.rst-versions .rst-other-versions hr{display:block;height:1px;border:0;margin:20px 0;padding:0;border-top:1px solid #413d3d}.rst-versions .rst-other-versions dd{display:inline-block;margin:0}.rst-versions .rst-other-versions dd a{display:inline-block;padding:6px;color:#fcfcfc}.rst-versions.rst-badge{width:auto;bottom:20px;right:20px;left:auto;border:none;max-width:300px;max-height:90%}.rst-versions.rst-badge .fa-book,.rst-versions.rst-badge .icon-book{float:none;line-height:30px}.rst-versions.rst-badge.shift-up .rst-current-version{text-align:right}.rst-versions.rst-badge.shift-up .rst-current-version .fa-book,.rst-versions.rst-badge.shift-up .rst-current-version .icon-book{float:left}.rst-versions.rst-badge>.rst-current-version{width:auto;height:30px;line-height:30px;padding:0 6px;display:block;text-align:center}@media screen and (max-width:768px){.rst-versions{width:85%;display:none}.rst-versions.shift{display:block}} \ No newline at end of file diff --git a/_static/css/fonts/Roboto-Slab-Bold.woff b/_static/css/fonts/Roboto-Slab-Bold.woff new file mode 100644 index 000000000..6cb600001 Binary files /dev/null and b/_static/css/fonts/Roboto-Slab-Bold.woff differ diff --git a/_static/css/fonts/Roboto-Slab-Bold.woff2 b/_static/css/fonts/Roboto-Slab-Bold.woff2 new file mode 100644 index 000000000..7059e2314 Binary files /dev/null and b/_static/css/fonts/Roboto-Slab-Bold.woff2 differ diff --git a/_static/css/fonts/Roboto-Slab-Regular.woff b/_static/css/fonts/Roboto-Slab-Regular.woff new file mode 100644 index 000000000..f815f63f9 Binary files /dev/null and b/_static/css/fonts/Roboto-Slab-Regular.woff differ diff --git a/_static/css/fonts/Roboto-Slab-Regular.woff2 b/_static/css/fonts/Roboto-Slab-Regular.woff2 new file mode 100644 index 000000000..f2c76e5bd Binary files /dev/null and b/_static/css/fonts/Roboto-Slab-Regular.woff2 differ diff --git a/_static/css/fonts/fontawesome-webfont.eot b/_static/css/fonts/fontawesome-webfont.eot new file mode 100644 index 000000000..e9f60ca95 Binary files /dev/null and b/_static/css/fonts/fontawesome-webfont.eot differ diff --git a/_static/css/fonts/fontawesome-webfont.svg b/_static/css/fonts/fontawesome-webfont.svg new file mode 100644 index 000000000..855c845e5 --- /dev/null +++ b/_static/css/fonts/fontawesome-webfont.svg @@ -0,0 +1,2671 @@ + + + + +Created by FontForge 20120731 at Mon Oct 24 17:37:40 2016 + By ,,, +Copyright Dave Gandy 2016. All rights reserved. + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/_static/css/fonts/fontawesome-webfont.ttf b/_static/css/fonts/fontawesome-webfont.ttf new file mode 100644 index 000000000..35acda2fa Binary files /dev/null and b/_static/css/fonts/fontawesome-webfont.ttf differ diff --git a/_static/css/fonts/fontawesome-webfont.woff b/_static/css/fonts/fontawesome-webfont.woff new file mode 100644 index 000000000..400014a4b Binary files /dev/null and b/_static/css/fonts/fontawesome-webfont.woff differ diff --git a/_static/css/fonts/fontawesome-webfont.woff2 b/_static/css/fonts/fontawesome-webfont.woff2 new file mode 100644 index 000000000..4d13fc604 Binary files /dev/null and b/_static/css/fonts/fontawesome-webfont.woff2 differ diff --git a/_static/css/fonts/lato-bold-italic.woff b/_static/css/fonts/lato-bold-italic.woff new file mode 100644 index 000000000..88ad05b9f Binary files /dev/null and b/_static/css/fonts/lato-bold-italic.woff differ diff --git a/_static/css/fonts/lato-bold-italic.woff2 b/_static/css/fonts/lato-bold-italic.woff2 new file mode 100644 index 000000000..c4e3d804b Binary files /dev/null and b/_static/css/fonts/lato-bold-italic.woff2 differ diff --git a/_static/css/fonts/lato-bold.woff b/_static/css/fonts/lato-bold.woff new file mode 100644 index 000000000..c6dff51f0 Binary files /dev/null and b/_static/css/fonts/lato-bold.woff differ diff --git a/_static/css/fonts/lato-bold.woff2 b/_static/css/fonts/lato-bold.woff2 new file mode 100644 index 000000000..bb195043c Binary files /dev/null and b/_static/css/fonts/lato-bold.woff2 differ diff --git a/_static/css/fonts/lato-normal-italic.woff b/_static/css/fonts/lato-normal-italic.woff new file mode 100644 index 000000000..76114bc03 Binary files /dev/null and b/_static/css/fonts/lato-normal-italic.woff differ diff --git a/_static/css/fonts/lato-normal-italic.woff2 b/_static/css/fonts/lato-normal-italic.woff2 new file mode 100644 index 000000000..3404f37e2 Binary files /dev/null and b/_static/css/fonts/lato-normal-italic.woff2 differ diff --git a/_static/css/fonts/lato-normal.woff b/_static/css/fonts/lato-normal.woff new file mode 100644 index 000000000..ae1307ff5 Binary files /dev/null and b/_static/css/fonts/lato-normal.woff differ diff --git a/_static/css/fonts/lato-normal.woff2 b/_static/css/fonts/lato-normal.woff2 new file mode 100644 index 000000000..3bf984332 Binary files /dev/null and b/_static/css/fonts/lato-normal.woff2 differ diff --git a/_static/css/override.css b/_static/css/override.css new file mode 100644 index 000000000..4dd6beb5a --- /dev/null +++ b/_static/css/override.css @@ -0,0 +1,115 @@ +/* theme overrides */ +.rst-content h1, +.rst-content h2 { + margin-top: 24px; + margin-bottom: 6px; + text-decoration: underline; +} + +.rst-content h3, +.rst-content h4, +.rst-content h5, +.rst-content h6 { + margin-top: 12px; + margin-bottom: 6px; +} + +.rst-content p { + margin-bottom: 6px +} + +.rst-content .topic-title { + font-size: larger; + font-weight: 700; + margin-top: 18px; + margin-bottom: 6px; +} + +.rst-content p.rubric { + text-decoration: underline; + font-weight: 700; + margin-top: 18px; + margin-bottom: 16px; +} + +/* general overrides */ +html { + font-size: 15px; +} + +footer { + font-size: 95%; + text-align: center +} + +footer p { + margin-bottom: 0px /* 12px */; + font-size: 95% +} + +section > p, +.section p, +.simple li { + text-align: justify +} + +/* wyrm overrides */ +.wy-menu-vertical header, +.wy-menu-vertical p.caption { + color: #9b9b9b /* #55a5d9 */; + padding: 0 0.809em /* 0 1.618em */; + margin: 6px 0 0 0 /* 12px 0 0 */; + border-top: 1px solid #9b9b9b; +} + +.wy-side-nav-search { + margin-bottom: 0 /* .809em */; + background-color: #333333 /* #2980b9 */; + /* BTD: */ + /*color: #fcfcfc*/ +} + +.wy-side-nav-search input[type=text] { + border-radius: 0px /* 50px */; +} + +.wy-side-nav-search .wy-dropdown > a, .wy-side-nav-search > a { + /* BTD: */ + /*color: #fcfcfc;*/ + margin-bottom: 0.404em /* .809em */; +} + +.wy-side-nav-search > div.version { + margin: 0 0 6px 0; + /* BTD: */ + /*margin-top: -.4045em;*/ +} + +.wy-nav .wy-menu-vertical a:hover { + background-color: #333333 /* #2980b9 */; +} + +.wy-nav-content { + max-width: 1600px /* 800px */ ; +} + +.wy-nav-top { + background: #333333 /* #2980b9 */; +} + +/* Sphinx Design */ +.sd-tab-set { + margin: 0 +} + +.sd-tab-set > label { + padding-top: .5em; + padding-right: 1em; + padding-bottom: .5em; + padding-left: 1em +} + +.sd-container-fluid { + padding-left: 0; + padding-right: 0; +} diff --git a/_static/css/theme.css b/_static/css/theme.css new file mode 100644 index 000000000..19a446a0e --- /dev/null +++ b/_static/css/theme.css @@ -0,0 +1,4 @@ +html{box-sizing:border-box}*,:after,:before{box-sizing:inherit}article,aside,details,figcaption,figure,footer,header,hgroup,nav,section{display:block}audio,canvas,video{display:inline-block;*display:inline;*zoom:1}[hidden],audio:not([controls]){display:none}*{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}html{font-size:100%;-webkit-text-size-adjust:100%;-ms-text-size-adjust:100%}body{margin:0}a:active,a:hover{outline:0}abbr[title]{border-bottom:1px dotted}b,strong{font-weight:700}blockquote{margin:0}dfn{font-style:italic}ins{background:#ff9;text-decoration:none}ins,mark{color:#000}mark{background:#ff0;font-style:italic;font-weight:700}.rst-content code,.rst-content tt,code,kbd,pre,samp{font-family:monospace,serif;_font-family:courier new,monospace;font-size:1em}pre{white-space:pre}q{quotes:none}q:after,q:before{content:"";content:none}small{font-size:85%}sub,sup{font-size:75%;line-height:0;position:relative;vertical-align:baseline}sup{top:-.5em}sub{bottom:-.25em}dl,ol,ul{margin:0;padding:0;list-style:none;list-style-image:none}li{list-style:none}dd{margin:0}img{border:0;-ms-interpolation-mode:bicubic;vertical-align:middle;max-width:100%}svg:not(:root){overflow:hidden}figure,form{margin:0}label{cursor:pointer}button,input,select,textarea{font-size:100%;margin:0;vertical-align:baseline;*vertical-align:middle}button,input{line-height:normal}button,input[type=button],input[type=reset],input[type=submit]{cursor:pointer;-webkit-appearance:button;*overflow:visible}button[disabled],input[disabled]{cursor:default}input[type=search]{-webkit-appearance:textfield;-moz-box-sizing:content-box;-webkit-box-sizing:content-box;box-sizing:content-box}textarea{resize:vertical}table{border-collapse:collapse;border-spacing:0}td{vertical-align:top}.chromeframe{margin:.2em 0;background:#ccc;color:#000;padding:.2em 0}.ir{display:block;border:0;text-indent:-999em;overflow:hidden;background-color:transparent;background-repeat:no-repeat;text-align:left;direction:ltr;*line-height:0}.ir br{display:none}.hidden{display:none!important;visibility:hidden}.visuallyhidden{border:0;clip:rect(0 0 0 0);height:1px;margin:-1px;overflow:hidden;padding:0;position:absolute;width:1px}.visuallyhidden.focusable:active,.visuallyhidden.focusable:focus{clip:auto;height:auto;margin:0;overflow:visible;position:static;width:auto}.invisible{visibility:hidden}.relative{position:relative}big,small{font-size:100%}@media print{body,html,section{background:none!important}*{box-shadow:none!important;text-shadow:none!important;filter:none!important;-ms-filter:none!important}a,a:visited{text-decoration:underline}.ir a:after,a[href^="#"]:after,a[href^="javascript:"]:after{content:""}blockquote,pre{page-break-inside:avoid}thead{display:table-header-group}img,tr{page-break-inside:avoid}img{max-width:100%!important}@page{margin:.5cm}.rst-content .toctree-wrapper>p.caption,h2,h3,p{orphans:3;widows:3}.rst-content .toctree-wrapper>p.caption,h2,h3{page-break-after:avoid}}.btn,.fa:before,.icon:before,.rst-content .admonition,.rst-content .admonition-title:before,.rst-content .admonition-todo,.rst-content .attention,.rst-content .caution,.rst-content .code-block-caption .headerlink:before,.rst-content .danger,.rst-content .eqno .headerlink:before,.rst-content .error,.rst-content .hint,.rst-content .important,.rst-content .note,.rst-content .seealso,.rst-content .tip,.rst-content .warning,.rst-content code.download span:first-child:before,.rst-content dl dt .headerlink:before,.rst-content h1 .headerlink:before,.rst-content h2 .headerlink:before,.rst-content h3 .headerlink:before,.rst-content h4 .headerlink:before,.rst-content h5 .headerlink:before,.rst-content h6 .headerlink:before,.rst-content p.caption .headerlink:before,.rst-content p .headerlink:before,.rst-content table>caption .headerlink:before,.rst-content tt.download span:first-child:before,.wy-alert,.wy-dropdown .caret:before,.wy-inline-validate.wy-inline-validate-danger .wy-input-context:before,.wy-inline-validate.wy-inline-validate-info .wy-input-context:before,.wy-inline-validate.wy-inline-validate-success .wy-input-context:before,.wy-inline-validate.wy-inline-validate-warning .wy-input-context:before,.wy-menu-vertical li.current>a button.toctree-expand:before,.wy-menu-vertical li.on a button.toctree-expand:before,.wy-menu-vertical li button.toctree-expand:before,input[type=color],input[type=date],input[type=datetime-local],input[type=datetime],input[type=email],input[type=month],input[type=number],input[type=password],input[type=search],input[type=tel],input[type=text],input[type=time],input[type=url],input[type=week],select,textarea{-webkit-font-smoothing:antialiased}.clearfix{*zoom:1}.clearfix:after,.clearfix:before{display:table;content:""}.clearfix:after{clear:both}/*! + * Font Awesome 4.7.0 by @davegandy - http://fontawesome.io - @fontawesome + * License - http://fontawesome.io/license (Font: SIL OFL 1.1, CSS: MIT License) + */@font-face{font-family:FontAwesome;src:url(fonts/fontawesome-webfont.eot?674f50d287a8c48dc19ba404d20fe713);src:url(fonts/fontawesome-webfont.eot?674f50d287a8c48dc19ba404d20fe713?#iefix&v=4.7.0) format("embedded-opentype"),url(fonts/fontawesome-webfont.woff2?af7ae505a9eed503f8b8e6982036873e) format("woff2"),url(fonts/fontawesome-webfont.woff?fee66e712a8a08eef5805a46892932ad) format("woff"),url(fonts/fontawesome-webfont.ttf?b06871f281fee6b241d60582ae9369b9) format("truetype"),url(fonts/fontawesome-webfont.svg?912ec66d7572ff821749319396470bde#fontawesomeregular) format("svg");font-weight:400;font-style:normal}.fa,.icon,.rst-content .admonition-title,.rst-content .code-block-caption .headerlink,.rst-content .eqno .headerlink,.rst-content code.download span:first-child,.rst-content dl dt .headerlink,.rst-content h1 .headerlink,.rst-content h2 .headerlink,.rst-content h3 .headerlink,.rst-content h4 .headerlink,.rst-content h5 .headerlink,.rst-content h6 .headerlink,.rst-content p.caption .headerlink,.rst-content p .headerlink,.rst-content table>caption .headerlink,.rst-content tt.download span:first-child,.wy-menu-vertical li.current>a button.toctree-expand,.wy-menu-vertical li.on a button.toctree-expand,.wy-menu-vertical li button.toctree-expand{display:inline-block;font:normal normal normal 14px/1 FontAwesome;font-size:inherit;text-rendering:auto;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale}.fa-lg{font-size:1.33333em;line-height:.75em;vertical-align:-15%}.fa-2x{font-size:2em}.fa-3x{font-size:3em}.fa-4x{font-size:4em}.fa-5x{font-size:5em}.fa-fw{width:1.28571em;text-align:center}.fa-ul{padding-left:0;margin-left:2.14286em;list-style-type:none}.fa-ul>li{position:relative}.fa-li{position:absolute;left:-2.14286em;width:2.14286em;top:.14286em;text-align:center}.fa-li.fa-lg{left:-1.85714em}.fa-border{padding:.2em .25em .15em;border:.08em solid #eee;border-radius:.1em}.fa-pull-left{float:left}.fa-pull-right{float:right}.fa-pull-left.icon,.fa.fa-pull-left,.rst-content .code-block-caption .fa-pull-left.headerlink,.rst-content .eqno .fa-pull-left.headerlink,.rst-content .fa-pull-left.admonition-title,.rst-content code.download span.fa-pull-left:first-child,.rst-content dl dt .fa-pull-left.headerlink,.rst-content h1 .fa-pull-left.headerlink,.rst-content h2 .fa-pull-left.headerlink,.rst-content h3 .fa-pull-left.headerlink,.rst-content h4 .fa-pull-left.headerlink,.rst-content h5 .fa-pull-left.headerlink,.rst-content h6 .fa-pull-left.headerlink,.rst-content p .fa-pull-left.headerlink,.rst-content table>caption .fa-pull-left.headerlink,.rst-content tt.download span.fa-pull-left:first-child,.wy-menu-vertical li.current>a button.fa-pull-left.toctree-expand,.wy-menu-vertical li.on a button.fa-pull-left.toctree-expand,.wy-menu-vertical li button.fa-pull-left.toctree-expand{margin-right:.3em}.fa-pull-right.icon,.fa.fa-pull-right,.rst-content .code-block-caption .fa-pull-right.headerlink,.rst-content .eqno .fa-pull-right.headerlink,.rst-content .fa-pull-right.admonition-title,.rst-content code.download span.fa-pull-right:first-child,.rst-content dl dt .fa-pull-right.headerlink,.rst-content h1 .fa-pull-right.headerlink,.rst-content h2 .fa-pull-right.headerlink,.rst-content h3 .fa-pull-right.headerlink,.rst-content h4 .fa-pull-right.headerlink,.rst-content h5 .fa-pull-right.headerlink,.rst-content h6 .fa-pull-right.headerlink,.rst-content p .fa-pull-right.headerlink,.rst-content table>caption .fa-pull-right.headerlink,.rst-content tt.download span.fa-pull-right:first-child,.wy-menu-vertical li.current>a button.fa-pull-right.toctree-expand,.wy-menu-vertical li.on a button.fa-pull-right.toctree-expand,.wy-menu-vertical li button.fa-pull-right.toctree-expand{margin-left:.3em}.pull-right{float:right}.pull-left{float:left}.fa.pull-left,.pull-left.icon,.rst-content .code-block-caption .pull-left.headerlink,.rst-content .eqno .pull-left.headerlink,.rst-content .pull-left.admonition-title,.rst-content code.download span.pull-left:first-child,.rst-content dl dt .pull-left.headerlink,.rst-content h1 .pull-left.headerlink,.rst-content h2 .pull-left.headerlink,.rst-content h3 .pull-left.headerlink,.rst-content h4 .pull-left.headerlink,.rst-content h5 .pull-left.headerlink,.rst-content h6 .pull-left.headerlink,.rst-content p .pull-left.headerlink,.rst-content table>caption .pull-left.headerlink,.rst-content tt.download span.pull-left:first-child,.wy-menu-vertical li.current>a button.pull-left.toctree-expand,.wy-menu-vertical li.on a button.pull-left.toctree-expand,.wy-menu-vertical li button.pull-left.toctree-expand{margin-right:.3em}.fa.pull-right,.pull-right.icon,.rst-content .code-block-caption .pull-right.headerlink,.rst-content .eqno .pull-right.headerlink,.rst-content .pull-right.admonition-title,.rst-content code.download span.pull-right:first-child,.rst-content dl dt .pull-right.headerlink,.rst-content h1 .pull-right.headerlink,.rst-content h2 .pull-right.headerlink,.rst-content h3 .pull-right.headerlink,.rst-content h4 .pull-right.headerlink,.rst-content h5 .pull-right.headerlink,.rst-content h6 .pull-right.headerlink,.rst-content p .pull-right.headerlink,.rst-content table>caption .pull-right.headerlink,.rst-content tt.download span.pull-right:first-child,.wy-menu-vertical li.current>a button.pull-right.toctree-expand,.wy-menu-vertical li.on a button.pull-right.toctree-expand,.wy-menu-vertical li button.pull-right.toctree-expand{margin-left:.3em}.fa-spin{-webkit-animation:fa-spin 2s linear infinite;animation:fa-spin 2s linear infinite}.fa-pulse{-webkit-animation:fa-spin 1s steps(8) infinite;animation:fa-spin 1s steps(8) infinite}@-webkit-keyframes fa-spin{0%{-webkit-transform:rotate(0deg);transform:rotate(0deg)}to{-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@keyframes fa-spin{0%{-webkit-transform:rotate(0deg);transform:rotate(0deg)}to{-webkit-transform:rotate(359deg);transform:rotate(359deg)}}.fa-rotate-90{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=1)";-webkit-transform:rotate(90deg);-ms-transform:rotate(90deg);transform:rotate(90deg)}.fa-rotate-180{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=2)";-webkit-transform:rotate(180deg);-ms-transform:rotate(180deg);transform:rotate(180deg)}.fa-rotate-270{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=3)";-webkit-transform:rotate(270deg);-ms-transform:rotate(270deg);transform:rotate(270deg)}.fa-flip-horizontal{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=0, mirror=1)";-webkit-transform:scaleX(-1);-ms-transform:scaleX(-1);transform:scaleX(-1)}.fa-flip-vertical{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=2, mirror=1)";-webkit-transform:scaleY(-1);-ms-transform:scaleY(-1);transform:scaleY(-1)}:root .fa-flip-horizontal,:root .fa-flip-vertical,:root .fa-rotate-90,:root .fa-rotate-180,:root .fa-rotate-270{filter:none}.fa-stack{position:relative;display:inline-block;width:2em;height:2em;line-height:2em;vertical-align:middle}.fa-stack-1x,.fa-stack-2x{position:absolute;left:0;width:100%;text-align:center}.fa-stack-1x{line-height:inherit}.fa-stack-2x{font-size:2em}.fa-inverse{color:#fff}.fa-glass:before{content:""}.fa-music:before{content:""}.fa-search:before,.icon-search:before{content:""}.fa-envelope-o:before{content:""}.fa-heart:before{content:""}.fa-star:before{content:""}.fa-star-o:before{content:""}.fa-user:before{content:""}.fa-film:before{content:""}.fa-th-large:before{content:""}.fa-th:before{content:""}.fa-th-list:before{content:""}.fa-check:before{content:""}.fa-close:before,.fa-remove:before,.fa-times:before{content:""}.fa-search-plus:before{content:""}.fa-search-minus:before{content:""}.fa-power-off:before{content:""}.fa-signal:before{content:""}.fa-cog:before,.fa-gear:before{content:""}.fa-trash-o:before{content:""}.fa-home:before,.icon-home:before{content:""}.fa-file-o:before{content:""}.fa-clock-o:before{content:""}.fa-road:before{content:""}.fa-download:before,.rst-content code.download span:first-child:before,.rst-content tt.download span:first-child:before{content:""}.fa-arrow-circle-o-down:before{content:""}.fa-arrow-circle-o-up:before{content:""}.fa-inbox:before{content:""}.fa-play-circle-o:before{content:""}.fa-repeat:before,.fa-rotate-right:before{content:""}.fa-refresh:before{content:""}.fa-list-alt:before{content:""}.fa-lock:before{content:""}.fa-flag:before{content:""}.fa-headphones:before{content:""}.fa-volume-off:before{content:""}.fa-volume-down:before{content:""}.fa-volume-up:before{content:""}.fa-qrcode:before{content:""}.fa-barcode:before{content:""}.fa-tag:before{content:""}.fa-tags:before{content:""}.fa-book:before,.icon-book:before{content:""}.fa-bookmark:before{content:""}.fa-print:before{content:""}.fa-camera:before{content:""}.fa-font:before{content:""}.fa-bold:before{content:""}.fa-italic:before{content:""}.fa-text-height:before{content:""}.fa-text-width:before{content:""}.fa-align-left:before{content:""}.fa-align-center:before{content:""}.fa-align-right:before{content:""}.fa-align-justify:before{content:""}.fa-list:before{content:""}.fa-dedent:before,.fa-outdent:before{content:""}.fa-indent:before{content:""}.fa-video-camera:before{content:""}.fa-image:before,.fa-photo:before,.fa-picture-o:before{content:""}.fa-pencil:before{content:""}.fa-map-marker:before{content:""}.fa-adjust:before{content:""}.fa-tint:before{content:""}.fa-edit:before,.fa-pencil-square-o:before{content:""}.fa-share-square-o:before{content:""}.fa-check-square-o:before{content:""}.fa-arrows:before{content:""}.fa-step-backward:before{content:""}.fa-fast-backward:before{content:""}.fa-backward:before{content:""}.fa-play:before{content:""}.fa-pause:before{content:""}.fa-stop:before{content:""}.fa-forward:before{content:""}.fa-fast-forward:before{content:""}.fa-step-forward:before{content:""}.fa-eject:before{content:""}.fa-chevron-left:before{content:""}.fa-chevron-right:before{content:""}.fa-plus-circle:before{content:""}.fa-minus-circle:before{content:""}.fa-times-circle:before,.wy-inline-validate.wy-inline-validate-danger .wy-input-context:before{content:""}.fa-check-circle:before,.wy-inline-validate.wy-inline-validate-success .wy-input-context:before{content:""}.fa-question-circle:before{content:""}.fa-info-circle:before{content:""}.fa-crosshairs:before{content:""}.fa-times-circle-o:before{content:""}.fa-check-circle-o:before{content:""}.fa-ban:before{content:""}.fa-arrow-left:before{content:""}.fa-arrow-right:before{content:""}.fa-arrow-up:before{content:""}.fa-arrow-down:before{content:""}.fa-mail-forward:before,.fa-share:before{content:""}.fa-expand:before{content:""}.fa-compress:before{content:""}.fa-plus:before{content:""}.fa-minus:before{content:""}.fa-asterisk:before{content:""}.fa-exclamation-circle:before,.rst-content .admonition-title:before,.wy-inline-validate.wy-inline-validate-info .wy-input-context:before,.wy-inline-validate.wy-inline-validate-warning .wy-input-context:before{content:""}.fa-gift:before{content:""}.fa-leaf:before{content:""}.fa-fire:before,.icon-fire:before{content:""}.fa-eye:before{content:""}.fa-eye-slash:before{content:""}.fa-exclamation-triangle:before,.fa-warning:before{content:""}.fa-plane:before{content:""}.fa-calendar:before{content:""}.fa-random:before{content:""}.fa-comment:before{content:""}.fa-magnet:before{content:""}.fa-chevron-up:before{content:""}.fa-chevron-down:before{content:""}.fa-retweet:before{content:""}.fa-shopping-cart:before{content:""}.fa-folder:before{content:""}.fa-folder-open:before{content:""}.fa-arrows-v:before{content:""}.fa-arrows-h:before{content:""}.fa-bar-chart-o:before,.fa-bar-chart:before{content:""}.fa-twitter-square:before{content:""}.fa-facebook-square:before{content:""}.fa-camera-retro:before{content:""}.fa-key:before{content:""}.fa-cogs:before,.fa-gears:before{content:""}.fa-comments:before{content:""}.fa-thumbs-o-up:before{content:""}.fa-thumbs-o-down:before{content:""}.fa-star-half:before{content:""}.fa-heart-o:before{content:""}.fa-sign-out:before{content:""}.fa-linkedin-square:before{content:""}.fa-thumb-tack:before{content:""}.fa-external-link:before{content:""}.fa-sign-in:before{content:""}.fa-trophy:before{content:""}.fa-github-square:before{content:""}.fa-upload:before{content:""}.fa-lemon-o:before{content:""}.fa-phone:before{content:""}.fa-square-o:before{content:""}.fa-bookmark-o:before{content:""}.fa-phone-square:before{content:""}.fa-twitter:before{content:""}.fa-facebook-f:before,.fa-facebook:before{content:""}.fa-github:before,.icon-github:before{content:""}.fa-unlock:before{content:""}.fa-credit-card:before{content:""}.fa-feed:before,.fa-rss:before{content:""}.fa-hdd-o:before{content:""}.fa-bullhorn:before{content:""}.fa-bell:before{content:""}.fa-certificate:before{content:""}.fa-hand-o-right:before{content:""}.fa-hand-o-left:before{content:""}.fa-hand-o-up:before{content:""}.fa-hand-o-down:before{content:""}.fa-arrow-circle-left:before,.icon-circle-arrow-left:before{content:""}.fa-arrow-circle-right:before,.icon-circle-arrow-right:before{content:""}.fa-arrow-circle-up:before{content:""}.fa-arrow-circle-down:before{content:""}.fa-globe:before{content:""}.fa-wrench:before{content:""}.fa-tasks:before{content:""}.fa-filter:before{content:""}.fa-briefcase:before{content:""}.fa-arrows-alt:before{content:""}.fa-group:before,.fa-users:before{content:""}.fa-chain:before,.fa-link:before,.icon-link:before{content:""}.fa-cloud:before{content:""}.fa-flask:before{content:""}.fa-cut:before,.fa-scissors:before{content:""}.fa-copy:before,.fa-files-o:before{content:""}.fa-paperclip:before{content:""}.fa-floppy-o:before,.fa-save:before{content:""}.fa-square:before{content:""}.fa-bars:before,.fa-navicon:before,.fa-reorder:before{content:""}.fa-list-ul:before{content:""}.fa-list-ol:before{content:""}.fa-strikethrough:before{content:""}.fa-underline:before{content:""}.fa-table:before{content:""}.fa-magic:before{content:""}.fa-truck:before{content:""}.fa-pinterest:before{content:""}.fa-pinterest-square:before{content:""}.fa-google-plus-square:before{content:""}.fa-google-plus:before{content:""}.fa-money:before{content:""}.fa-caret-down:before,.icon-caret-down:before,.wy-dropdown .caret:before{content:""}.fa-caret-up:before{content:""}.fa-caret-left:before{content:""}.fa-caret-right:before{content:""}.fa-columns:before{content:""}.fa-sort:before,.fa-unsorted:before{content:""}.fa-sort-desc:before,.fa-sort-down:before{content:""}.fa-sort-asc:before,.fa-sort-up:before{content:""}.fa-envelope:before{content:""}.fa-linkedin:before{content:""}.fa-rotate-left:before,.fa-undo:before{content:""}.fa-gavel:before,.fa-legal:before{content:""}.fa-dashboard:before,.fa-tachometer:before{content:""}.fa-comment-o:before{content:""}.fa-comments-o:before{content:""}.fa-bolt:before,.fa-flash:before{content:""}.fa-sitemap:before{content:""}.fa-umbrella:before{content:""}.fa-clipboard:before,.fa-paste:before{content:""}.fa-lightbulb-o:before{content:""}.fa-exchange:before{content:""}.fa-cloud-download:before{content:""}.fa-cloud-upload:before{content:""}.fa-user-md:before{content:""}.fa-stethoscope:before{content:""}.fa-suitcase:before{content:""}.fa-bell-o:before{content:""}.fa-coffee:before{content:""}.fa-cutlery:before{content:""}.fa-file-text-o:before{content:""}.fa-building-o:before{content:""}.fa-hospital-o:before{content:""}.fa-ambulance:before{content:""}.fa-medkit:before{content:""}.fa-fighter-jet:before{content:""}.fa-beer:before{content:""}.fa-h-square:before{content:""}.fa-plus-square:before{content:""}.fa-angle-double-left:before{content:""}.fa-angle-double-right:before{content:""}.fa-angle-double-up:before{content:""}.fa-angle-double-down:before{content:""}.fa-angle-left:before{content:""}.fa-angle-right:before{content:""}.fa-angle-up:before{content:""}.fa-angle-down:before{content:""}.fa-desktop:before{content:""}.fa-laptop:before{content:""}.fa-tablet:before{content:""}.fa-mobile-phone:before,.fa-mobile:before{content:""}.fa-circle-o:before{content:""}.fa-quote-left:before{content:""}.fa-quote-right:before{content:""}.fa-spinner:before{content:""}.fa-circle:before{content:""}.fa-mail-reply:before,.fa-reply:before{content:""}.fa-github-alt:before{content:""}.fa-folder-o:before{content:""}.fa-folder-open-o:before{content:""}.fa-smile-o:before{content:""}.fa-frown-o:before{content:""}.fa-meh-o:before{content:""}.fa-gamepad:before{content:""}.fa-keyboard-o:before{content:""}.fa-flag-o:before{content:""}.fa-flag-checkered:before{content:""}.fa-terminal:before{content:""}.fa-code:before{content:""}.fa-mail-reply-all:before,.fa-reply-all:before{content:""}.fa-star-half-empty:before,.fa-star-half-full:before,.fa-star-half-o:before{content:""}.fa-location-arrow:before{content:""}.fa-crop:before{content:""}.fa-code-fork:before{content:""}.fa-chain-broken:before,.fa-unlink:before{content:""}.fa-question:before{content:""}.fa-info:before{content:""}.fa-exclamation:before{content:""}.fa-superscript:before{content:""}.fa-subscript:before{content:""}.fa-eraser:before{content:""}.fa-puzzle-piece:before{content:""}.fa-microphone:before{content:""}.fa-microphone-slash:before{content:""}.fa-shield:before{content:""}.fa-calendar-o:before{content:""}.fa-fire-extinguisher:before{content:""}.fa-rocket:before{content:""}.fa-maxcdn:before{content:""}.fa-chevron-circle-left:before{content:""}.fa-chevron-circle-right:before{content:""}.fa-chevron-circle-up:before{content:""}.fa-chevron-circle-down:before{content:""}.fa-html5:before{content:""}.fa-css3:before{content:""}.fa-anchor:before{content:""}.fa-unlock-alt:before{content:""}.fa-bullseye:before{content:""}.fa-ellipsis-h:before{content:""}.fa-ellipsis-v:before{content:""}.fa-rss-square:before{content:""}.fa-play-circle:before{content:""}.fa-ticket:before{content:""}.fa-minus-square:before{content:""}.fa-minus-square-o:before,.wy-menu-vertical li.current>a button.toctree-expand:before,.wy-menu-vertical li.on a button.toctree-expand:before{content:""}.fa-level-up:before{content:""}.fa-level-down:before{content:""}.fa-check-square:before{content:""}.fa-pencil-square:before{content:""}.fa-external-link-square:before{content:""}.fa-share-square:before{content:""}.fa-compass:before{content:""}.fa-caret-square-o-down:before,.fa-toggle-down:before{content:""}.fa-caret-square-o-up:before,.fa-toggle-up:before{content:""}.fa-caret-square-o-right:before,.fa-toggle-right:before{content:""}.fa-eur:before,.fa-euro:before{content:""}.fa-gbp:before{content:""}.fa-dollar:before,.fa-usd:before{content:""}.fa-inr:before,.fa-rupee:before{content:""}.fa-cny:before,.fa-jpy:before,.fa-rmb:before,.fa-yen:before{content:""}.fa-rouble:before,.fa-rub:before,.fa-ruble:before{content:""}.fa-krw:before,.fa-won:before{content:""}.fa-bitcoin:before,.fa-btc:before{content:""}.fa-file:before{content:""}.fa-file-text:before{content:""}.fa-sort-alpha-asc:before{content:""}.fa-sort-alpha-desc:before{content:""}.fa-sort-amount-asc:before{content:""}.fa-sort-amount-desc:before{content:""}.fa-sort-numeric-asc:before{content:""}.fa-sort-numeric-desc:before{content:""}.fa-thumbs-up:before{content:""}.fa-thumbs-down:before{content:""}.fa-youtube-square:before{content:""}.fa-youtube:before{content:""}.fa-xing:before{content:""}.fa-xing-square:before{content:""}.fa-youtube-play:before{content:""}.fa-dropbox:before{content:""}.fa-stack-overflow:before{content:""}.fa-instagram:before{content:""}.fa-flickr:before{content:""}.fa-adn:before{content:""}.fa-bitbucket:before,.icon-bitbucket:before{content:""}.fa-bitbucket-square:before{content:""}.fa-tumblr:before{content:""}.fa-tumblr-square:before{content:""}.fa-long-arrow-down:before{content:""}.fa-long-arrow-up:before{content:""}.fa-long-arrow-left:before{content:""}.fa-long-arrow-right:before{content:""}.fa-apple:before{content:""}.fa-windows:before{content:""}.fa-android:before{content:""}.fa-linux:before{content:""}.fa-dribbble:before{content:""}.fa-skype:before{content:""}.fa-foursquare:before{content:""}.fa-trello:before{content:""}.fa-female:before{content:""}.fa-male:before{content:""}.fa-gittip:before,.fa-gratipay:before{content:""}.fa-sun-o:before{content:""}.fa-moon-o:before{content:""}.fa-archive:before{content:""}.fa-bug:before{content:""}.fa-vk:before{content:""}.fa-weibo:before{content:""}.fa-renren:before{content:""}.fa-pagelines:before{content:""}.fa-stack-exchange:before{content:""}.fa-arrow-circle-o-right:before{content:""}.fa-arrow-circle-o-left:before{content:""}.fa-caret-square-o-left:before,.fa-toggle-left:before{content:""}.fa-dot-circle-o:before{content:""}.fa-wheelchair:before{content:""}.fa-vimeo-square:before{content:""}.fa-try:before,.fa-turkish-lira:before{content:""}.fa-plus-square-o:before,.wy-menu-vertical li button.toctree-expand:before{content:""}.fa-space-shuttle:before{content:""}.fa-slack:before{content:""}.fa-envelope-square:before{content:""}.fa-wordpress:before{content:""}.fa-openid:before{content:""}.fa-bank:before,.fa-institution:before,.fa-university:before{content:""}.fa-graduation-cap:before,.fa-mortar-board:before{content:""}.fa-yahoo:before{content:""}.fa-google:before{content:""}.fa-reddit:before{content:""}.fa-reddit-square:before{content:""}.fa-stumbleupon-circle:before{content:""}.fa-stumbleupon:before{content:""}.fa-delicious:before{content:""}.fa-digg:before{content:""}.fa-pied-piper-pp:before{content:""}.fa-pied-piper-alt:before{content:""}.fa-drupal:before{content:""}.fa-joomla:before{content:""}.fa-language:before{content:""}.fa-fax:before{content:""}.fa-building:before{content:""}.fa-child:before{content:""}.fa-paw:before{content:""}.fa-spoon:before{content:""}.fa-cube:before{content:""}.fa-cubes:before{content:""}.fa-behance:before{content:""}.fa-behance-square:before{content:""}.fa-steam:before{content:""}.fa-steam-square:before{content:""}.fa-recycle:before{content:""}.fa-automobile:before,.fa-car:before{content:""}.fa-cab:before,.fa-taxi:before{content:""}.fa-tree:before{content:""}.fa-spotify:before{content:""}.fa-deviantart:before{content:""}.fa-soundcloud:before{content:""}.fa-database:before{content:""}.fa-file-pdf-o:before{content:""}.fa-file-word-o:before{content:""}.fa-file-excel-o:before{content:""}.fa-file-powerpoint-o:before{content:""}.fa-file-image-o:before,.fa-file-photo-o:before,.fa-file-picture-o:before{content:""}.fa-file-archive-o:before,.fa-file-zip-o:before{content:""}.fa-file-audio-o:before,.fa-file-sound-o:before{content:""}.fa-file-movie-o:before,.fa-file-video-o:before{content:""}.fa-file-code-o:before{content:""}.fa-vine:before{content:""}.fa-codepen:before{content:""}.fa-jsfiddle:before{content:""}.fa-life-bouy:before,.fa-life-buoy:before,.fa-life-ring:before,.fa-life-saver:before,.fa-support:before{content:""}.fa-circle-o-notch:before{content:""}.fa-ra:before,.fa-rebel:before,.fa-resistance:before{content:""}.fa-empire:before,.fa-ge:before{content:""}.fa-git-square:before{content:""}.fa-git:before{content:""}.fa-hacker-news:before,.fa-y-combinator-square:before,.fa-yc-square:before{content:""}.fa-tencent-weibo:before{content:""}.fa-qq:before{content:""}.fa-wechat:before,.fa-weixin:before{content:""}.fa-paper-plane:before,.fa-send:before{content:""}.fa-paper-plane-o:before,.fa-send-o:before{content:""}.fa-history:before{content:""}.fa-circle-thin:before{content:""}.fa-header:before{content:""}.fa-paragraph:before{content:""}.fa-sliders:before{content:""}.fa-share-alt:before{content:""}.fa-share-alt-square:before{content:""}.fa-bomb:before{content:""}.fa-futbol-o:before,.fa-soccer-ball-o:before{content:""}.fa-tty:before{content:""}.fa-binoculars:before{content:""}.fa-plug:before{content:""}.fa-slideshare:before{content:""}.fa-twitch:before{content:""}.fa-yelp:before{content:""}.fa-newspaper-o:before{content:""}.fa-wifi:before{content:""}.fa-calculator:before{content:""}.fa-paypal:before{content:""}.fa-google-wallet:before{content:""}.fa-cc-visa:before{content:""}.fa-cc-mastercard:before{content:""}.fa-cc-discover:before{content:""}.fa-cc-amex:before{content:""}.fa-cc-paypal:before{content:""}.fa-cc-stripe:before{content:""}.fa-bell-slash:before{content:""}.fa-bell-slash-o:before{content:""}.fa-trash:before{content:""}.fa-copyright:before{content:""}.fa-at:before{content:""}.fa-eyedropper:before{content:""}.fa-paint-brush:before{content:""}.fa-birthday-cake:before{content:""}.fa-area-chart:before{content:""}.fa-pie-chart:before{content:""}.fa-line-chart:before{content:""}.fa-lastfm:before{content:""}.fa-lastfm-square:before{content:""}.fa-toggle-off:before{content:""}.fa-toggle-on:before{content:""}.fa-bicycle:before{content:""}.fa-bus:before{content:""}.fa-ioxhost:before{content:""}.fa-angellist:before{content:""}.fa-cc:before{content:""}.fa-ils:before,.fa-shekel:before,.fa-sheqel:before{content:""}.fa-meanpath:before{content:""}.fa-buysellads:before{content:""}.fa-connectdevelop:before{content:""}.fa-dashcube:before{content:""}.fa-forumbee:before{content:""}.fa-leanpub:before{content:""}.fa-sellsy:before{content:""}.fa-shirtsinbulk:before{content:""}.fa-simplybuilt:before{content:""}.fa-skyatlas:before{content:""}.fa-cart-plus:before{content:""}.fa-cart-arrow-down:before{content:""}.fa-diamond:before{content:""}.fa-ship:before{content:""}.fa-user-secret:before{content:""}.fa-motorcycle:before{content:""}.fa-street-view:before{content:""}.fa-heartbeat:before{content:""}.fa-venus:before{content:""}.fa-mars:before{content:""}.fa-mercury:before{content:""}.fa-intersex:before,.fa-transgender:before{content:""}.fa-transgender-alt:before{content:""}.fa-venus-double:before{content:""}.fa-mars-double:before{content:""}.fa-venus-mars:before{content:""}.fa-mars-stroke:before{content:""}.fa-mars-stroke-v:before{content:""}.fa-mars-stroke-h:before{content:""}.fa-neuter:before{content:""}.fa-genderless:before{content:""}.fa-facebook-official:before{content:""}.fa-pinterest-p:before{content:""}.fa-whatsapp:before{content:""}.fa-server:before{content:""}.fa-user-plus:before{content:""}.fa-user-times:before{content:""}.fa-bed:before,.fa-hotel:before{content:""}.fa-viacoin:before{content:""}.fa-train:before{content:""}.fa-subway:before{content:""}.fa-medium:before{content:""}.fa-y-combinator:before,.fa-yc:before{content:""}.fa-optin-monster:before{content:""}.fa-opencart:before{content:""}.fa-expeditedssl:before{content:""}.fa-battery-4:before,.fa-battery-full:before,.fa-battery:before{content:""}.fa-battery-3:before,.fa-battery-three-quarters:before{content:""}.fa-battery-2:before,.fa-battery-half:before{content:""}.fa-battery-1:before,.fa-battery-quarter:before{content:""}.fa-battery-0:before,.fa-battery-empty:before{content:""}.fa-mouse-pointer:before{content:""}.fa-i-cursor:before{content:""}.fa-object-group:before{content:""}.fa-object-ungroup:before{content:""}.fa-sticky-note:before{content:""}.fa-sticky-note-o:before{content:""}.fa-cc-jcb:before{content:""}.fa-cc-diners-club:before{content:""}.fa-clone:before{content:""}.fa-balance-scale:before{content:""}.fa-hourglass-o:before{content:""}.fa-hourglass-1:before,.fa-hourglass-start:before{content:""}.fa-hourglass-2:before,.fa-hourglass-half:before{content:""}.fa-hourglass-3:before,.fa-hourglass-end:before{content:""}.fa-hourglass:before{content:""}.fa-hand-grab-o:before,.fa-hand-rock-o:before{content:""}.fa-hand-paper-o:before,.fa-hand-stop-o:before{content:""}.fa-hand-scissors-o:before{content:""}.fa-hand-lizard-o:before{content:""}.fa-hand-spock-o:before{content:""}.fa-hand-pointer-o:before{content:""}.fa-hand-peace-o:before{content:""}.fa-trademark:before{content:""}.fa-registered:before{content:""}.fa-creative-commons:before{content:""}.fa-gg:before{content:""}.fa-gg-circle:before{content:""}.fa-tripadvisor:before{content:""}.fa-odnoklassniki:before{content:""}.fa-odnoklassniki-square:before{content:""}.fa-get-pocket:before{content:""}.fa-wikipedia-w:before{content:""}.fa-safari:before{content:""}.fa-chrome:before{content:""}.fa-firefox:before{content:""}.fa-opera:before{content:""}.fa-internet-explorer:before{content:""}.fa-television:before,.fa-tv:before{content:""}.fa-contao:before{content:""}.fa-500px:before{content:""}.fa-amazon:before{content:""}.fa-calendar-plus-o:before{content:""}.fa-calendar-minus-o:before{content:""}.fa-calendar-times-o:before{content:""}.fa-calendar-check-o:before{content:""}.fa-industry:before{content:""}.fa-map-pin:before{content:""}.fa-map-signs:before{content:""}.fa-map-o:before{content:""}.fa-map:before{content:""}.fa-commenting:before{content:""}.fa-commenting-o:before{content:""}.fa-houzz:before{content:""}.fa-vimeo:before{content:""}.fa-black-tie:before{content:""}.fa-fonticons:before{content:""}.fa-reddit-alien:before{content:""}.fa-edge:before{content:""}.fa-credit-card-alt:before{content:""}.fa-codiepie:before{content:""}.fa-modx:before{content:""}.fa-fort-awesome:before{content:""}.fa-usb:before{content:""}.fa-product-hunt:before{content:""}.fa-mixcloud:before{content:""}.fa-scribd:before{content:""}.fa-pause-circle:before{content:""}.fa-pause-circle-o:before{content:""}.fa-stop-circle:before{content:""}.fa-stop-circle-o:before{content:""}.fa-shopping-bag:before{content:""}.fa-shopping-basket:before{content:""}.fa-hashtag:before{content:""}.fa-bluetooth:before{content:""}.fa-bluetooth-b:before{content:""}.fa-percent:before{content:""}.fa-gitlab:before,.icon-gitlab:before{content:""}.fa-wpbeginner:before{content:""}.fa-wpforms:before{content:""}.fa-envira:before{content:""}.fa-universal-access:before{content:""}.fa-wheelchair-alt:before{content:""}.fa-question-circle-o:before{content:""}.fa-blind:before{content:""}.fa-audio-description:before{content:""}.fa-volume-control-phone:before{content:""}.fa-braille:before{content:""}.fa-assistive-listening-systems:before{content:""}.fa-american-sign-language-interpreting:before,.fa-asl-interpreting:before{content:""}.fa-deaf:before,.fa-deafness:before,.fa-hard-of-hearing:before{content:""}.fa-glide:before{content:""}.fa-glide-g:before{content:""}.fa-sign-language:before,.fa-signing:before{content:""}.fa-low-vision:before{content:""}.fa-viadeo:before{content:""}.fa-viadeo-square:before{content:""}.fa-snapchat:before{content:""}.fa-snapchat-ghost:before{content:""}.fa-snapchat-square:before{content:""}.fa-pied-piper:before{content:""}.fa-first-order:before{content:""}.fa-yoast:before{content:""}.fa-themeisle:before{content:""}.fa-google-plus-circle:before,.fa-google-plus-official:before{content:""}.fa-fa:before,.fa-font-awesome:before{content:""}.fa-handshake-o:before{content:""}.fa-envelope-open:before{content:""}.fa-envelope-open-o:before{content:""}.fa-linode:before{content:""}.fa-address-book:before{content:""}.fa-address-book-o:before{content:""}.fa-address-card:before,.fa-vcard:before{content:""}.fa-address-card-o:before,.fa-vcard-o:before{content:""}.fa-user-circle:before{content:""}.fa-user-circle-o:before{content:""}.fa-user-o:before{content:""}.fa-id-badge:before{content:""}.fa-drivers-license:before,.fa-id-card:before{content:""}.fa-drivers-license-o:before,.fa-id-card-o:before{content:""}.fa-quora:before{content:""}.fa-free-code-camp:before{content:""}.fa-telegram:before{content:""}.fa-thermometer-4:before,.fa-thermometer-full:before,.fa-thermometer:before{content:""}.fa-thermometer-3:before,.fa-thermometer-three-quarters:before{content:""}.fa-thermometer-2:before,.fa-thermometer-half:before{content:""}.fa-thermometer-1:before,.fa-thermometer-quarter:before{content:""}.fa-thermometer-0:before,.fa-thermometer-empty:before{content:""}.fa-shower:before{content:""}.fa-bath:before,.fa-bathtub:before,.fa-s15:before{content:""}.fa-podcast:before{content:""}.fa-window-maximize:before{content:""}.fa-window-minimize:before{content:""}.fa-window-restore:before{content:""}.fa-times-rectangle:before,.fa-window-close:before{content:""}.fa-times-rectangle-o:before,.fa-window-close-o:before{content:""}.fa-bandcamp:before{content:""}.fa-grav:before{content:""}.fa-etsy:before{content:""}.fa-imdb:before{content:""}.fa-ravelry:before{content:""}.fa-eercast:before{content:""}.fa-microchip:before{content:""}.fa-snowflake-o:before{content:""}.fa-superpowers:before{content:""}.fa-wpexplorer:before{content:""}.fa-meetup:before{content:""}.sr-only{position:absolute;width:1px;height:1px;padding:0;margin:-1px;overflow:hidden;clip:rect(0,0,0,0);border:0}.sr-only-focusable:active,.sr-only-focusable:focus{position:static;width:auto;height:auto;margin:0;overflow:visible;clip:auto}.fa,.icon,.rst-content .admonition-title,.rst-content .code-block-caption .headerlink,.rst-content .eqno .headerlink,.rst-content code.download span:first-child,.rst-content dl dt .headerlink,.rst-content h1 .headerlink,.rst-content h2 .headerlink,.rst-content h3 .headerlink,.rst-content h4 .headerlink,.rst-content h5 .headerlink,.rst-content h6 .headerlink,.rst-content p.caption .headerlink,.rst-content p .headerlink,.rst-content table>caption .headerlink,.rst-content tt.download span:first-child,.wy-dropdown .caret,.wy-inline-validate.wy-inline-validate-danger .wy-input-context,.wy-inline-validate.wy-inline-validate-info .wy-input-context,.wy-inline-validate.wy-inline-validate-success .wy-input-context,.wy-inline-validate.wy-inline-validate-warning .wy-input-context,.wy-menu-vertical li.current>a button.toctree-expand,.wy-menu-vertical li.on a button.toctree-expand,.wy-menu-vertical li button.toctree-expand{font-family:inherit}.fa:before,.icon:before,.rst-content .admonition-title:before,.rst-content .code-block-caption .headerlink:before,.rst-content .eqno .headerlink:before,.rst-content code.download span:first-child:before,.rst-content dl dt .headerlink:before,.rst-content h1 .headerlink:before,.rst-content h2 .headerlink:before,.rst-content h3 .headerlink:before,.rst-content h4 .headerlink:before,.rst-content h5 .headerlink:before,.rst-content h6 .headerlink:before,.rst-content p.caption .headerlink:before,.rst-content p .headerlink:before,.rst-content table>caption .headerlink:before,.rst-content tt.download span:first-child:before,.wy-dropdown .caret:before,.wy-inline-validate.wy-inline-validate-danger .wy-input-context:before,.wy-inline-validate.wy-inline-validate-info .wy-input-context:before,.wy-inline-validate.wy-inline-validate-success .wy-input-context:before,.wy-inline-validate.wy-inline-validate-warning .wy-input-context:before,.wy-menu-vertical li.current>a button.toctree-expand:before,.wy-menu-vertical li.on a button.toctree-expand:before,.wy-menu-vertical li button.toctree-expand:before{font-family:FontAwesome;display:inline-block;font-style:normal;font-weight:400;line-height:1;text-decoration:inherit}.rst-content .code-block-caption a .headerlink,.rst-content .eqno a .headerlink,.rst-content a .admonition-title,.rst-content code.download a span:first-child,.rst-content dl dt a .headerlink,.rst-content h1 a .headerlink,.rst-content h2 a .headerlink,.rst-content h3 a .headerlink,.rst-content h4 a .headerlink,.rst-content h5 a .headerlink,.rst-content h6 a .headerlink,.rst-content p.caption a .headerlink,.rst-content p a .headerlink,.rst-content table>caption a .headerlink,.rst-content tt.download a span:first-child,.wy-menu-vertical li.current>a button.toctree-expand,.wy-menu-vertical li.on a button.toctree-expand,.wy-menu-vertical li a button.toctree-expand,a .fa,a .icon,a .rst-content .admonition-title,a .rst-content .code-block-caption .headerlink,a .rst-content .eqno .headerlink,a .rst-content code.download span:first-child,a .rst-content dl dt .headerlink,a .rst-content h1 .headerlink,a .rst-content h2 .headerlink,a .rst-content h3 .headerlink,a .rst-content h4 .headerlink,a .rst-content h5 .headerlink,a .rst-content h6 .headerlink,a .rst-content p.caption .headerlink,a .rst-content p .headerlink,a .rst-content table>caption .headerlink,a .rst-content tt.download span:first-child,a .wy-menu-vertical li button.toctree-expand{display:inline-block;text-decoration:inherit}.btn .fa,.btn .icon,.btn .rst-content .admonition-title,.btn .rst-content .code-block-caption .headerlink,.btn .rst-content .eqno .headerlink,.btn .rst-content code.download span:first-child,.btn .rst-content dl dt .headerlink,.btn .rst-content h1 .headerlink,.btn .rst-content h2 .headerlink,.btn .rst-content h3 .headerlink,.btn .rst-content h4 .headerlink,.btn .rst-content h5 .headerlink,.btn .rst-content h6 .headerlink,.btn .rst-content p .headerlink,.btn .rst-content table>caption .headerlink,.btn .rst-content tt.download span:first-child,.btn .wy-menu-vertical li.current>a button.toctree-expand,.btn .wy-menu-vertical li.on a button.toctree-expand,.btn .wy-menu-vertical li button.toctree-expand,.nav .fa,.nav .icon,.nav .rst-content .admonition-title,.nav .rst-content .code-block-caption .headerlink,.nav .rst-content .eqno .headerlink,.nav .rst-content code.download span:first-child,.nav .rst-content dl dt .headerlink,.nav .rst-content h1 .headerlink,.nav .rst-content h2 .headerlink,.nav .rst-content h3 .headerlink,.nav .rst-content h4 .headerlink,.nav .rst-content h5 .headerlink,.nav .rst-content h6 .headerlink,.nav .rst-content p .headerlink,.nav .rst-content table>caption .headerlink,.nav .rst-content tt.download span:first-child,.nav .wy-menu-vertical li.current>a button.toctree-expand,.nav .wy-menu-vertical li.on a button.toctree-expand,.nav .wy-menu-vertical li button.toctree-expand,.rst-content .btn .admonition-title,.rst-content .code-block-caption .btn .headerlink,.rst-content .code-block-caption .nav .headerlink,.rst-content .eqno .btn .headerlink,.rst-content .eqno .nav .headerlink,.rst-content .nav .admonition-title,.rst-content code.download .btn span:first-child,.rst-content code.download .nav span:first-child,.rst-content dl dt .btn .headerlink,.rst-content dl dt .nav .headerlink,.rst-content h1 .btn .headerlink,.rst-content h1 .nav .headerlink,.rst-content h2 .btn .headerlink,.rst-content h2 .nav .headerlink,.rst-content h3 .btn .headerlink,.rst-content h3 .nav .headerlink,.rst-content h4 .btn .headerlink,.rst-content h4 .nav .headerlink,.rst-content h5 .btn .headerlink,.rst-content h5 .nav .headerlink,.rst-content h6 .btn .headerlink,.rst-content h6 .nav .headerlink,.rst-content p .btn .headerlink,.rst-content p .nav .headerlink,.rst-content table>caption .btn .headerlink,.rst-content table>caption .nav .headerlink,.rst-content tt.download .btn span:first-child,.rst-content tt.download .nav span:first-child,.wy-menu-vertical li .btn button.toctree-expand,.wy-menu-vertical li.current>a .btn button.toctree-expand,.wy-menu-vertical li.current>a .nav button.toctree-expand,.wy-menu-vertical li .nav button.toctree-expand,.wy-menu-vertical li.on a .btn button.toctree-expand,.wy-menu-vertical li.on a .nav button.toctree-expand{display:inline}.btn .fa-large.icon,.btn .fa.fa-large,.btn .rst-content .code-block-caption .fa-large.headerlink,.btn .rst-content .eqno .fa-large.headerlink,.btn .rst-content .fa-large.admonition-title,.btn .rst-content code.download span.fa-large:first-child,.btn .rst-content dl dt .fa-large.headerlink,.btn .rst-content h1 .fa-large.headerlink,.btn .rst-content h2 .fa-large.headerlink,.btn .rst-content h3 .fa-large.headerlink,.btn .rst-content h4 .fa-large.headerlink,.btn .rst-content h5 .fa-large.headerlink,.btn .rst-content h6 .fa-large.headerlink,.btn .rst-content p .fa-large.headerlink,.btn .rst-content table>caption .fa-large.headerlink,.btn .rst-content tt.download span.fa-large:first-child,.btn .wy-menu-vertical li button.fa-large.toctree-expand,.nav .fa-large.icon,.nav .fa.fa-large,.nav .rst-content .code-block-caption .fa-large.headerlink,.nav .rst-content .eqno .fa-large.headerlink,.nav .rst-content .fa-large.admonition-title,.nav .rst-content code.download span.fa-large:first-child,.nav .rst-content dl dt .fa-large.headerlink,.nav .rst-content h1 .fa-large.headerlink,.nav .rst-content h2 .fa-large.headerlink,.nav .rst-content h3 .fa-large.headerlink,.nav .rst-content h4 .fa-large.headerlink,.nav .rst-content h5 .fa-large.headerlink,.nav .rst-content h6 .fa-large.headerlink,.nav .rst-content p .fa-large.headerlink,.nav .rst-content table>caption .fa-large.headerlink,.nav .rst-content tt.download span.fa-large:first-child,.nav .wy-menu-vertical li button.fa-large.toctree-expand,.rst-content .btn .fa-large.admonition-title,.rst-content .code-block-caption .btn .fa-large.headerlink,.rst-content .code-block-caption .nav .fa-large.headerlink,.rst-content .eqno .btn .fa-large.headerlink,.rst-content .eqno .nav .fa-large.headerlink,.rst-content .nav .fa-large.admonition-title,.rst-content code.download .btn span.fa-large:first-child,.rst-content code.download .nav span.fa-large:first-child,.rst-content dl dt .btn .fa-large.headerlink,.rst-content dl dt .nav .fa-large.headerlink,.rst-content h1 .btn .fa-large.headerlink,.rst-content h1 .nav .fa-large.headerlink,.rst-content h2 .btn .fa-large.headerlink,.rst-content h2 .nav .fa-large.headerlink,.rst-content h3 .btn .fa-large.headerlink,.rst-content h3 .nav .fa-large.headerlink,.rst-content h4 .btn .fa-large.headerlink,.rst-content h4 .nav .fa-large.headerlink,.rst-content h5 .btn .fa-large.headerlink,.rst-content h5 .nav .fa-large.headerlink,.rst-content h6 .btn .fa-large.headerlink,.rst-content h6 .nav .fa-large.headerlink,.rst-content p .btn .fa-large.headerlink,.rst-content p .nav .fa-large.headerlink,.rst-content table>caption .btn .fa-large.headerlink,.rst-content table>caption .nav .fa-large.headerlink,.rst-content tt.download .btn span.fa-large:first-child,.rst-content tt.download .nav span.fa-large:first-child,.wy-menu-vertical li .btn button.fa-large.toctree-expand,.wy-menu-vertical li .nav button.fa-large.toctree-expand{line-height:.9em}.btn .fa-spin.icon,.btn .fa.fa-spin,.btn .rst-content .code-block-caption .fa-spin.headerlink,.btn .rst-content .eqno .fa-spin.headerlink,.btn .rst-content .fa-spin.admonition-title,.btn .rst-content code.download span.fa-spin:first-child,.btn .rst-content dl dt .fa-spin.headerlink,.btn .rst-content h1 .fa-spin.headerlink,.btn .rst-content h2 .fa-spin.headerlink,.btn .rst-content h3 .fa-spin.headerlink,.btn .rst-content h4 .fa-spin.headerlink,.btn .rst-content h5 .fa-spin.headerlink,.btn .rst-content h6 .fa-spin.headerlink,.btn .rst-content p .fa-spin.headerlink,.btn .rst-content table>caption .fa-spin.headerlink,.btn .rst-content tt.download span.fa-spin:first-child,.btn .wy-menu-vertical li button.fa-spin.toctree-expand,.nav .fa-spin.icon,.nav .fa.fa-spin,.nav .rst-content .code-block-caption .fa-spin.headerlink,.nav .rst-content .eqno .fa-spin.headerlink,.nav .rst-content .fa-spin.admonition-title,.nav .rst-content code.download span.fa-spin:first-child,.nav .rst-content dl dt .fa-spin.headerlink,.nav .rst-content h1 .fa-spin.headerlink,.nav .rst-content h2 .fa-spin.headerlink,.nav .rst-content h3 .fa-spin.headerlink,.nav .rst-content h4 .fa-spin.headerlink,.nav .rst-content h5 .fa-spin.headerlink,.nav .rst-content h6 .fa-spin.headerlink,.nav .rst-content p .fa-spin.headerlink,.nav .rst-content table>caption .fa-spin.headerlink,.nav .rst-content tt.download span.fa-spin:first-child,.nav .wy-menu-vertical li button.fa-spin.toctree-expand,.rst-content .btn .fa-spin.admonition-title,.rst-content .code-block-caption .btn .fa-spin.headerlink,.rst-content .code-block-caption .nav .fa-spin.headerlink,.rst-content .eqno .btn .fa-spin.headerlink,.rst-content .eqno .nav .fa-spin.headerlink,.rst-content .nav .fa-spin.admonition-title,.rst-content code.download .btn span.fa-spin:first-child,.rst-content code.download .nav span.fa-spin:first-child,.rst-content dl dt .btn .fa-spin.headerlink,.rst-content dl dt .nav .fa-spin.headerlink,.rst-content h1 .btn .fa-spin.headerlink,.rst-content h1 .nav .fa-spin.headerlink,.rst-content h2 .btn .fa-spin.headerlink,.rst-content h2 .nav .fa-spin.headerlink,.rst-content h3 .btn .fa-spin.headerlink,.rst-content h3 .nav .fa-spin.headerlink,.rst-content h4 .btn .fa-spin.headerlink,.rst-content h4 .nav .fa-spin.headerlink,.rst-content h5 .btn .fa-spin.headerlink,.rst-content h5 .nav .fa-spin.headerlink,.rst-content h6 .btn .fa-spin.headerlink,.rst-content h6 .nav .fa-spin.headerlink,.rst-content p .btn .fa-spin.headerlink,.rst-content p .nav .fa-spin.headerlink,.rst-content table>caption .btn .fa-spin.headerlink,.rst-content table>caption .nav .fa-spin.headerlink,.rst-content tt.download .btn span.fa-spin:first-child,.rst-content tt.download .nav span.fa-spin:first-child,.wy-menu-vertical li .btn button.fa-spin.toctree-expand,.wy-menu-vertical li .nav button.fa-spin.toctree-expand{display:inline-block}.btn.fa:before,.btn.icon:before,.rst-content .btn.admonition-title:before,.rst-content .code-block-caption .btn.headerlink:before,.rst-content .eqno .btn.headerlink:before,.rst-content code.download span.btn:first-child:before,.rst-content dl dt .btn.headerlink:before,.rst-content h1 .btn.headerlink:before,.rst-content h2 .btn.headerlink:before,.rst-content h3 .btn.headerlink:before,.rst-content h4 .btn.headerlink:before,.rst-content h5 .btn.headerlink:before,.rst-content h6 .btn.headerlink:before,.rst-content p .btn.headerlink:before,.rst-content table>caption .btn.headerlink:before,.rst-content tt.download span.btn:first-child:before,.wy-menu-vertical li button.btn.toctree-expand:before{opacity:.5;-webkit-transition:opacity .05s ease-in;-moz-transition:opacity .05s ease-in;transition:opacity .05s ease-in}.btn.fa:hover:before,.btn.icon:hover:before,.rst-content .btn.admonition-title:hover:before,.rst-content .code-block-caption .btn.headerlink:hover:before,.rst-content .eqno .btn.headerlink:hover:before,.rst-content code.download span.btn:first-child:hover:before,.rst-content dl dt .btn.headerlink:hover:before,.rst-content h1 .btn.headerlink:hover:before,.rst-content h2 .btn.headerlink:hover:before,.rst-content h3 .btn.headerlink:hover:before,.rst-content h4 .btn.headerlink:hover:before,.rst-content h5 .btn.headerlink:hover:before,.rst-content h6 .btn.headerlink:hover:before,.rst-content p .btn.headerlink:hover:before,.rst-content table>caption .btn.headerlink:hover:before,.rst-content tt.download span.btn:first-child:hover:before,.wy-menu-vertical li button.btn.toctree-expand:hover:before{opacity:1}.btn-mini .fa:before,.btn-mini .icon:before,.btn-mini .rst-content .admonition-title:before,.btn-mini .rst-content .code-block-caption .headerlink:before,.btn-mini .rst-content .eqno .headerlink:before,.btn-mini .rst-content code.download span:first-child:before,.btn-mini .rst-content dl dt .headerlink:before,.btn-mini .rst-content h1 .headerlink:before,.btn-mini .rst-content h2 .headerlink:before,.btn-mini .rst-content h3 .headerlink:before,.btn-mini .rst-content h4 .headerlink:before,.btn-mini .rst-content h5 .headerlink:before,.btn-mini .rst-content h6 .headerlink:before,.btn-mini .rst-content p .headerlink:before,.btn-mini .rst-content table>caption .headerlink:before,.btn-mini .rst-content tt.download span:first-child:before,.btn-mini .wy-menu-vertical li button.toctree-expand:before,.rst-content .btn-mini .admonition-title:before,.rst-content .code-block-caption .btn-mini .headerlink:before,.rst-content .eqno .btn-mini .headerlink:before,.rst-content code.download .btn-mini span:first-child:before,.rst-content dl dt .btn-mini .headerlink:before,.rst-content h1 .btn-mini .headerlink:before,.rst-content h2 .btn-mini .headerlink:before,.rst-content h3 .btn-mini .headerlink:before,.rst-content h4 .btn-mini .headerlink:before,.rst-content h5 .btn-mini .headerlink:before,.rst-content h6 .btn-mini .headerlink:before,.rst-content p .btn-mini .headerlink:before,.rst-content table>caption .btn-mini .headerlink:before,.rst-content tt.download .btn-mini span:first-child:before,.wy-menu-vertical li .btn-mini button.toctree-expand:before{font-size:14px;vertical-align:-15%}.rst-content .admonition,.rst-content .admonition-todo,.rst-content .attention,.rst-content .caution,.rst-content .danger,.rst-content .error,.rst-content .hint,.rst-content .important,.rst-content .note,.rst-content .seealso,.rst-content .tip,.rst-content .warning,.wy-alert{padding:12px;line-height:24px;margin-bottom:24px;background:#e7f2fa}.rst-content .admonition-title,.wy-alert-title{font-weight:700;display:block;color:#fff;background:#6ab0de;padding:6px 12px;margin:-12px -12px 12px}.rst-content .danger,.rst-content .error,.rst-content .wy-alert-danger.admonition,.rst-content .wy-alert-danger.admonition-todo,.rst-content .wy-alert-danger.attention,.rst-content .wy-alert-danger.caution,.rst-content .wy-alert-danger.hint,.rst-content .wy-alert-danger.important,.rst-content .wy-alert-danger.note,.rst-content .wy-alert-danger.seealso,.rst-content .wy-alert-danger.tip,.rst-content .wy-alert-danger.warning,.wy-alert.wy-alert-danger{background:#fdf3f2}.rst-content .danger .admonition-title,.rst-content .danger .wy-alert-title,.rst-content .error .admonition-title,.rst-content .error .wy-alert-title,.rst-content .wy-alert-danger.admonition-todo .admonition-title,.rst-content .wy-alert-danger.admonition-todo .wy-alert-title,.rst-content .wy-alert-danger.admonition .admonition-title,.rst-content .wy-alert-danger.admonition .wy-alert-title,.rst-content .wy-alert-danger.attention .admonition-title,.rst-content .wy-alert-danger.attention .wy-alert-title,.rst-content .wy-alert-danger.caution .admonition-title,.rst-content .wy-alert-danger.caution .wy-alert-title,.rst-content .wy-alert-danger.hint .admonition-title,.rst-content .wy-alert-danger.hint .wy-alert-title,.rst-content .wy-alert-danger.important .admonition-title,.rst-content .wy-alert-danger.important .wy-alert-title,.rst-content .wy-alert-danger.note .admonition-title,.rst-content .wy-alert-danger.note .wy-alert-title,.rst-content .wy-alert-danger.seealso .admonition-title,.rst-content .wy-alert-danger.seealso .wy-alert-title,.rst-content .wy-alert-danger.tip .admonition-title,.rst-content .wy-alert-danger.tip .wy-alert-title,.rst-content .wy-alert-danger.warning .admonition-title,.rst-content .wy-alert-danger.warning .wy-alert-title,.rst-content .wy-alert.wy-alert-danger .admonition-title,.wy-alert.wy-alert-danger .rst-content .admonition-title,.wy-alert.wy-alert-danger .wy-alert-title{background:#f29f97}.rst-content .admonition-todo,.rst-content .attention,.rst-content .caution,.rst-content .warning,.rst-content .wy-alert-warning.admonition,.rst-content .wy-alert-warning.danger,.rst-content .wy-alert-warning.error,.rst-content .wy-alert-warning.hint,.rst-content .wy-alert-warning.important,.rst-content .wy-alert-warning.note,.rst-content .wy-alert-warning.seealso,.rst-content .wy-alert-warning.tip,.wy-alert.wy-alert-warning{background:#ffedcc}.rst-content .admonition-todo .admonition-title,.rst-content .admonition-todo .wy-alert-title,.rst-content .attention .admonition-title,.rst-content .attention .wy-alert-title,.rst-content .caution .admonition-title,.rst-content .caution .wy-alert-title,.rst-content .warning .admonition-title,.rst-content .warning .wy-alert-title,.rst-content .wy-alert-warning.admonition .admonition-title,.rst-content .wy-alert-warning.admonition .wy-alert-title,.rst-content .wy-alert-warning.danger .admonition-title,.rst-content .wy-alert-warning.danger .wy-alert-title,.rst-content .wy-alert-warning.error .admonition-title,.rst-content .wy-alert-warning.error .wy-alert-title,.rst-content .wy-alert-warning.hint .admonition-title,.rst-content .wy-alert-warning.hint .wy-alert-title,.rst-content .wy-alert-warning.important .admonition-title,.rst-content .wy-alert-warning.important .wy-alert-title,.rst-content .wy-alert-warning.note .admonition-title,.rst-content .wy-alert-warning.note .wy-alert-title,.rst-content .wy-alert-warning.seealso .admonition-title,.rst-content .wy-alert-warning.seealso .wy-alert-title,.rst-content .wy-alert-warning.tip .admonition-title,.rst-content .wy-alert-warning.tip .wy-alert-title,.rst-content .wy-alert.wy-alert-warning .admonition-title,.wy-alert.wy-alert-warning .rst-content .admonition-title,.wy-alert.wy-alert-warning .wy-alert-title{background:#f0b37e}.rst-content .note,.rst-content .seealso,.rst-content .wy-alert-info.admonition,.rst-content .wy-alert-info.admonition-todo,.rst-content .wy-alert-info.attention,.rst-content .wy-alert-info.caution,.rst-content .wy-alert-info.danger,.rst-content .wy-alert-info.error,.rst-content .wy-alert-info.hint,.rst-content .wy-alert-info.important,.rst-content .wy-alert-info.tip,.rst-content .wy-alert-info.warning,.wy-alert.wy-alert-info{background:#e7f2fa}.rst-content .note .admonition-title,.rst-content .note .wy-alert-title,.rst-content .seealso .admonition-title,.rst-content .seealso .wy-alert-title,.rst-content .wy-alert-info.admonition-todo .admonition-title,.rst-content .wy-alert-info.admonition-todo .wy-alert-title,.rst-content .wy-alert-info.admonition .admonition-title,.rst-content .wy-alert-info.admonition .wy-alert-title,.rst-content .wy-alert-info.attention .admonition-title,.rst-content .wy-alert-info.attention .wy-alert-title,.rst-content .wy-alert-info.caution .admonition-title,.rst-content .wy-alert-info.caution .wy-alert-title,.rst-content .wy-alert-info.danger .admonition-title,.rst-content .wy-alert-info.danger .wy-alert-title,.rst-content .wy-alert-info.error .admonition-title,.rst-content .wy-alert-info.error .wy-alert-title,.rst-content .wy-alert-info.hint .admonition-title,.rst-content .wy-alert-info.hint .wy-alert-title,.rst-content .wy-alert-info.important .admonition-title,.rst-content .wy-alert-info.important .wy-alert-title,.rst-content .wy-alert-info.tip .admonition-title,.rst-content .wy-alert-info.tip .wy-alert-title,.rst-content .wy-alert-info.warning .admonition-title,.rst-content .wy-alert-info.warning .wy-alert-title,.rst-content .wy-alert.wy-alert-info .admonition-title,.wy-alert.wy-alert-info .rst-content .admonition-title,.wy-alert.wy-alert-info .wy-alert-title{background:#6ab0de}.rst-content .hint,.rst-content .important,.rst-content .tip,.rst-content .wy-alert-success.admonition,.rst-content .wy-alert-success.admonition-todo,.rst-content .wy-alert-success.attention,.rst-content .wy-alert-success.caution,.rst-content .wy-alert-success.danger,.rst-content .wy-alert-success.error,.rst-content .wy-alert-success.note,.rst-content .wy-alert-success.seealso,.rst-content .wy-alert-success.warning,.wy-alert.wy-alert-success{background:#dbfaf4}.rst-content .hint .admonition-title,.rst-content .hint .wy-alert-title,.rst-content .important .admonition-title,.rst-content .important .wy-alert-title,.rst-content .tip .admonition-title,.rst-content .tip .wy-alert-title,.rst-content .wy-alert-success.admonition-todo .admonition-title,.rst-content .wy-alert-success.admonition-todo .wy-alert-title,.rst-content .wy-alert-success.admonition .admonition-title,.rst-content .wy-alert-success.admonition .wy-alert-title,.rst-content .wy-alert-success.attention .admonition-title,.rst-content .wy-alert-success.attention .wy-alert-title,.rst-content .wy-alert-success.caution .admonition-title,.rst-content .wy-alert-success.caution .wy-alert-title,.rst-content .wy-alert-success.danger .admonition-title,.rst-content .wy-alert-success.danger .wy-alert-title,.rst-content .wy-alert-success.error .admonition-title,.rst-content .wy-alert-success.error .wy-alert-title,.rst-content .wy-alert-success.note .admonition-title,.rst-content .wy-alert-success.note .wy-alert-title,.rst-content .wy-alert-success.seealso .admonition-title,.rst-content .wy-alert-success.seealso .wy-alert-title,.rst-content .wy-alert-success.warning .admonition-title,.rst-content .wy-alert-success.warning .wy-alert-title,.rst-content .wy-alert.wy-alert-success .admonition-title,.wy-alert.wy-alert-success .rst-content .admonition-title,.wy-alert.wy-alert-success .wy-alert-title{background:#1abc9c}.rst-content .wy-alert-neutral.admonition,.rst-content .wy-alert-neutral.admonition-todo,.rst-content .wy-alert-neutral.attention,.rst-content .wy-alert-neutral.caution,.rst-content .wy-alert-neutral.danger,.rst-content .wy-alert-neutral.error,.rst-content .wy-alert-neutral.hint,.rst-content .wy-alert-neutral.important,.rst-content .wy-alert-neutral.note,.rst-content .wy-alert-neutral.seealso,.rst-content .wy-alert-neutral.tip,.rst-content .wy-alert-neutral.warning,.wy-alert.wy-alert-neutral{background:#f3f6f6}.rst-content .wy-alert-neutral.admonition-todo .admonition-title,.rst-content .wy-alert-neutral.admonition-todo .wy-alert-title,.rst-content .wy-alert-neutral.admonition .admonition-title,.rst-content .wy-alert-neutral.admonition .wy-alert-title,.rst-content .wy-alert-neutral.attention .admonition-title,.rst-content .wy-alert-neutral.attention .wy-alert-title,.rst-content .wy-alert-neutral.caution .admonition-title,.rst-content .wy-alert-neutral.caution .wy-alert-title,.rst-content .wy-alert-neutral.danger .admonition-title,.rst-content .wy-alert-neutral.danger .wy-alert-title,.rst-content .wy-alert-neutral.error .admonition-title,.rst-content .wy-alert-neutral.error .wy-alert-title,.rst-content .wy-alert-neutral.hint .admonition-title,.rst-content .wy-alert-neutral.hint .wy-alert-title,.rst-content .wy-alert-neutral.important .admonition-title,.rst-content .wy-alert-neutral.important .wy-alert-title,.rst-content .wy-alert-neutral.note .admonition-title,.rst-content .wy-alert-neutral.note .wy-alert-title,.rst-content .wy-alert-neutral.seealso .admonition-title,.rst-content .wy-alert-neutral.seealso .wy-alert-title,.rst-content .wy-alert-neutral.tip .admonition-title,.rst-content .wy-alert-neutral.tip .wy-alert-title,.rst-content .wy-alert-neutral.warning .admonition-title,.rst-content .wy-alert-neutral.warning .wy-alert-title,.rst-content .wy-alert.wy-alert-neutral .admonition-title,.wy-alert.wy-alert-neutral .rst-content .admonition-title,.wy-alert.wy-alert-neutral .wy-alert-title{color:#404040;background:#e1e4e5}.rst-content .wy-alert-neutral.admonition-todo a,.rst-content .wy-alert-neutral.admonition a,.rst-content .wy-alert-neutral.attention a,.rst-content .wy-alert-neutral.caution a,.rst-content .wy-alert-neutral.danger a,.rst-content .wy-alert-neutral.error a,.rst-content .wy-alert-neutral.hint a,.rst-content .wy-alert-neutral.important a,.rst-content .wy-alert-neutral.note a,.rst-content .wy-alert-neutral.seealso a,.rst-content .wy-alert-neutral.tip a,.rst-content .wy-alert-neutral.warning a,.wy-alert.wy-alert-neutral a{color:#2980b9}.rst-content .admonition-todo p:last-child,.rst-content .admonition p:last-child,.rst-content .attention p:last-child,.rst-content .caution p:last-child,.rst-content .danger p:last-child,.rst-content .error p:last-child,.rst-content .hint p:last-child,.rst-content .important p:last-child,.rst-content .note p:last-child,.rst-content .seealso p:last-child,.rst-content .tip p:last-child,.rst-content .warning p:last-child,.wy-alert p:last-child{margin-bottom:0}.wy-tray-container{position:fixed;bottom:0;left:0;z-index:600}.wy-tray-container li{display:block;width:300px;background:transparent;color:#fff;text-align:center;box-shadow:0 5px 5px 0 rgba(0,0,0,.1);padding:0 24px;min-width:20%;opacity:0;height:0;line-height:56px;overflow:hidden;-webkit-transition:all .3s ease-in;-moz-transition:all .3s ease-in;transition:all .3s ease-in}.wy-tray-container li.wy-tray-item-success{background:#27ae60}.wy-tray-container li.wy-tray-item-info{background:#2980b9}.wy-tray-container li.wy-tray-item-warning{background:#e67e22}.wy-tray-container li.wy-tray-item-danger{background:#e74c3c}.wy-tray-container li.on{opacity:1;height:56px}@media screen and (max-width:768px){.wy-tray-container{bottom:auto;top:0;width:100%}.wy-tray-container li{width:100%}}button{font-size:100%;margin:0;vertical-align:baseline;*vertical-align:middle;cursor:pointer;line-height:normal;-webkit-appearance:button;*overflow:visible}button::-moz-focus-inner,input::-moz-focus-inner{border:0;padding:0}button[disabled]{cursor:default}.btn{display:inline-block;border-radius:2px;line-height:normal;white-space:nowrap;text-align:center;cursor:pointer;font-size:100%;padding:6px 12px 8px;color:#fff;border:1px solid rgba(0,0,0,.1);background-color:#27ae60;text-decoration:none;font-weight:400;font-family:Lato,proxima-nova,Helvetica Neue,Arial,sans-serif;box-shadow:inset 0 1px 2px -1px hsla(0,0%,100%,.5),inset 0 -2px 0 0 rgba(0,0,0,.1);outline-none:false;vertical-align:middle;*display:inline;zoom:1;-webkit-user-drag:none;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none;-webkit-transition:all .1s linear;-moz-transition:all .1s linear;transition:all .1s linear}.btn-hover{background:#2e8ece;color:#fff}.btn:hover{background:#2cc36b;color:#fff}.btn:focus{background:#2cc36b;outline:0}.btn:active{box-shadow:inset 0 -1px 0 0 rgba(0,0,0,.05),inset 0 2px 0 0 rgba(0,0,0,.1);padding:8px 12px 6px}.btn:visited{color:#fff}.btn-disabled,.btn-disabled:active,.btn-disabled:focus,.btn-disabled:hover,.btn:disabled{background-image:none;filter:progid:DXImageTransform.Microsoft.gradient(enabled = false);filter:alpha(opacity=40);opacity:.4;cursor:not-allowed;box-shadow:none}.btn::-moz-focus-inner{padding:0;border:0}.btn-small{font-size:80%}.btn-info{background-color:#2980b9!important}.btn-info:hover{background-color:#2e8ece!important}.btn-neutral{background-color:#f3f6f6!important;color:#404040!important}.btn-neutral:hover{background-color:#e5ebeb!important;color:#404040}.btn-neutral:visited{color:#404040!important}.btn-success{background-color:#27ae60!important}.btn-success:hover{background-color:#295!important}.btn-danger{background-color:#e74c3c!important}.btn-danger:hover{background-color:#ea6153!important}.btn-warning{background-color:#e67e22!important}.btn-warning:hover{background-color:#e98b39!important}.btn-invert{background-color:#222}.btn-invert:hover{background-color:#2f2f2f!important}.btn-link{background-color:transparent!important;color:#2980b9;box-shadow:none;border-color:transparent!important}.btn-link:active,.btn-link:hover{background-color:transparent!important;color:#409ad5!important;box-shadow:none}.btn-link:visited{color:#9b59b6}.wy-btn-group .btn,.wy-control .btn{vertical-align:middle}.wy-btn-group{margin-bottom:24px;*zoom:1}.wy-btn-group:after,.wy-btn-group:before{display:table;content:""}.wy-btn-group:after{clear:both}.wy-dropdown{position:relative;display:inline-block}.wy-dropdown-active .wy-dropdown-menu{display:block}.wy-dropdown-menu{position:absolute;left:0;display:none;float:left;top:100%;min-width:100%;background:#fcfcfc;z-index:100;border:1px solid #cfd7dd;box-shadow:0 2px 2px 0 rgba(0,0,0,.1);padding:12px}.wy-dropdown-menu>dd>a{display:block;clear:both;color:#404040;white-space:nowrap;font-size:90%;padding:0 12px;cursor:pointer}.wy-dropdown-menu>dd>a:hover{background:#2980b9;color:#fff}.wy-dropdown-menu>dd.divider{border-top:1px solid #cfd7dd;margin:6px 0}.wy-dropdown-menu>dd.search{padding-bottom:12px}.wy-dropdown-menu>dd.search input[type=search]{width:100%}.wy-dropdown-menu>dd.call-to-action{background:#e3e3e3;text-transform:uppercase;font-weight:500;font-size:80%}.wy-dropdown-menu>dd.call-to-action:hover{background:#e3e3e3}.wy-dropdown-menu>dd.call-to-action .btn{color:#fff}.wy-dropdown.wy-dropdown-up .wy-dropdown-menu{bottom:100%;top:auto;left:auto;right:0}.wy-dropdown.wy-dropdown-bubble .wy-dropdown-menu{background:#fcfcfc;margin-top:2px}.wy-dropdown.wy-dropdown-bubble .wy-dropdown-menu a{padding:6px 12px}.wy-dropdown.wy-dropdown-bubble .wy-dropdown-menu a:hover{background:#2980b9;color:#fff}.wy-dropdown.wy-dropdown-left .wy-dropdown-menu{right:0;left:auto;text-align:right}.wy-dropdown-arrow:before{content:" ";border-bottom:5px solid #f5f5f5;border-left:5px solid transparent;border-right:5px solid transparent;position:absolute;display:block;top:-4px;left:50%;margin-left:-3px}.wy-dropdown-arrow.wy-dropdown-arrow-left:before{left:11px}.wy-form-stacked select{display:block}.wy-form-aligned .wy-help-inline,.wy-form-aligned input,.wy-form-aligned label,.wy-form-aligned select,.wy-form-aligned textarea{display:inline-block;*display:inline;*zoom:1;vertical-align:middle}.wy-form-aligned .wy-control-group>label{display:inline-block;vertical-align:middle;width:10em;margin:6px 12px 0 0;float:left}.wy-form-aligned .wy-control{float:left}.wy-form-aligned .wy-control label{display:block}.wy-form-aligned .wy-control select{margin-top:6px}fieldset{margin:0}fieldset,legend{border:0;padding:0}legend{width:100%;white-space:normal;margin-bottom:24px;font-size:150%;*margin-left:-7px}label,legend{display:block}label{margin:0 0 .3125em;color:#333;font-size:90%}input,select,textarea{font-size:100%;margin:0;vertical-align:baseline;*vertical-align:middle}.wy-control-group{margin-bottom:24px;max-width:1200px;margin-left:auto;margin-right:auto;*zoom:1}.wy-control-group:after,.wy-control-group:before{display:table;content:""}.wy-control-group:after{clear:both}.wy-control-group.wy-control-group-required>label:after{content:" *";color:#e74c3c}.wy-control-group .wy-form-full,.wy-control-group .wy-form-halves,.wy-control-group .wy-form-thirds{padding-bottom:12px}.wy-control-group .wy-form-full input[type=color],.wy-control-group .wy-form-full input[type=date],.wy-control-group .wy-form-full input[type=datetime-local],.wy-control-group .wy-form-full input[type=datetime],.wy-control-group .wy-form-full input[type=email],.wy-control-group .wy-form-full input[type=month],.wy-control-group .wy-form-full input[type=number],.wy-control-group .wy-form-full input[type=password],.wy-control-group .wy-form-full input[type=search],.wy-control-group .wy-form-full input[type=tel],.wy-control-group .wy-form-full input[type=text],.wy-control-group .wy-form-full input[type=time],.wy-control-group .wy-form-full input[type=url],.wy-control-group .wy-form-full input[type=week],.wy-control-group .wy-form-full select,.wy-control-group .wy-form-halves input[type=color],.wy-control-group .wy-form-halves input[type=date],.wy-control-group .wy-form-halves input[type=datetime-local],.wy-control-group .wy-form-halves input[type=datetime],.wy-control-group .wy-form-halves input[type=email],.wy-control-group .wy-form-halves input[type=month],.wy-control-group .wy-form-halves input[type=number],.wy-control-group .wy-form-halves input[type=password],.wy-control-group .wy-form-halves input[type=search],.wy-control-group .wy-form-halves input[type=tel],.wy-control-group .wy-form-halves input[type=text],.wy-control-group .wy-form-halves input[type=time],.wy-control-group .wy-form-halves input[type=url],.wy-control-group .wy-form-halves input[type=week],.wy-control-group .wy-form-halves select,.wy-control-group .wy-form-thirds input[type=color],.wy-control-group .wy-form-thirds input[type=date],.wy-control-group .wy-form-thirds input[type=datetime-local],.wy-control-group .wy-form-thirds input[type=datetime],.wy-control-group .wy-form-thirds input[type=email],.wy-control-group .wy-form-thirds input[type=month],.wy-control-group .wy-form-thirds input[type=number],.wy-control-group .wy-form-thirds input[type=password],.wy-control-group .wy-form-thirds input[type=search],.wy-control-group .wy-form-thirds input[type=tel],.wy-control-group .wy-form-thirds input[type=text],.wy-control-group .wy-form-thirds input[type=time],.wy-control-group .wy-form-thirds input[type=url],.wy-control-group .wy-form-thirds input[type=week],.wy-control-group .wy-form-thirds select{width:100%}.wy-control-group .wy-form-full{float:left;display:block;width:100%;margin-right:0}.wy-control-group .wy-form-full:last-child{margin-right:0}.wy-control-group .wy-form-halves{float:left;display:block;margin-right:2.35765%;width:48.82117%}.wy-control-group .wy-form-halves:last-child,.wy-control-group .wy-form-halves:nth-of-type(2n){margin-right:0}.wy-control-group .wy-form-halves:nth-of-type(odd){clear:left}.wy-control-group .wy-form-thirds{float:left;display:block;margin-right:2.35765%;width:31.76157%}.wy-control-group .wy-form-thirds:last-child,.wy-control-group .wy-form-thirds:nth-of-type(3n){margin-right:0}.wy-control-group .wy-form-thirds:nth-of-type(3n+1){clear:left}.wy-control-group.wy-control-group-no-input .wy-control,.wy-control-no-input{margin:6px 0 0;font-size:90%}.wy-control-no-input{display:inline-block}.wy-control-group.fluid-input input[type=color],.wy-control-group.fluid-input input[type=date],.wy-control-group.fluid-input input[type=datetime-local],.wy-control-group.fluid-input input[type=datetime],.wy-control-group.fluid-input input[type=email],.wy-control-group.fluid-input input[type=month],.wy-control-group.fluid-input input[type=number],.wy-control-group.fluid-input input[type=password],.wy-control-group.fluid-input input[type=search],.wy-control-group.fluid-input input[type=tel],.wy-control-group.fluid-input input[type=text],.wy-control-group.fluid-input input[type=time],.wy-control-group.fluid-input input[type=url],.wy-control-group.fluid-input input[type=week]{width:100%}.wy-form-message-inline{padding-left:.3em;color:#666;font-size:90%}.wy-form-message{display:block;color:#999;font-size:70%;margin-top:.3125em;font-style:italic}.wy-form-message p{font-size:inherit;font-style:italic;margin-bottom:6px}.wy-form-message p:last-child{margin-bottom:0}input{line-height:normal}input[type=button],input[type=reset],input[type=submit]{-webkit-appearance:button;cursor:pointer;font-family:Lato,proxima-nova,Helvetica Neue,Arial,sans-serif;*overflow:visible}input[type=color],input[type=date],input[type=datetime-local],input[type=datetime],input[type=email],input[type=month],input[type=number],input[type=password],input[type=search],input[type=tel],input[type=text],input[type=time],input[type=url],input[type=week]{-webkit-appearance:none;padding:6px;display:inline-block;border:1px solid #ccc;font-size:80%;font-family:Lato,proxima-nova,Helvetica Neue,Arial,sans-serif;box-shadow:inset 0 1px 3px #ddd;border-radius:0;-webkit-transition:border .3s linear;-moz-transition:border .3s linear;transition:border .3s linear}input[type=datetime-local]{padding:.34375em .625em}input[disabled]{cursor:default}input[type=checkbox],input[type=radio]{padding:0;margin-right:.3125em;*height:13px;*width:13px}input[type=checkbox],input[type=radio],input[type=search]{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}input[type=search]::-webkit-search-cancel-button,input[type=search]::-webkit-search-decoration{-webkit-appearance:none}input[type=color]:focus,input[type=date]:focus,input[type=datetime-local]:focus,input[type=datetime]:focus,input[type=email]:focus,input[type=month]:focus,input[type=number]:focus,input[type=password]:focus,input[type=search]:focus,input[type=tel]:focus,input[type=text]:focus,input[type=time]:focus,input[type=url]:focus,input[type=week]:focus{outline:0;outline:thin dotted\9;border-color:#333}input.no-focus:focus{border-color:#ccc!important}input[type=checkbox]:focus,input[type=file]:focus,input[type=radio]:focus{outline:thin dotted #333;outline:1px auto #129fea}input[type=color][disabled],input[type=date][disabled],input[type=datetime-local][disabled],input[type=datetime][disabled],input[type=email][disabled],input[type=month][disabled],input[type=number][disabled],input[type=password][disabled],input[type=search][disabled],input[type=tel][disabled],input[type=text][disabled],input[type=time][disabled],input[type=url][disabled],input[type=week][disabled]{cursor:not-allowed;background-color:#fafafa}input:focus:invalid,select:focus:invalid,textarea:focus:invalid{color:#e74c3c;border:1px solid #e74c3c}input:focus:invalid:focus,select:focus:invalid:focus,textarea:focus:invalid:focus{border-color:#e74c3c}input[type=checkbox]:focus:invalid:focus,input[type=file]:focus:invalid:focus,input[type=radio]:focus:invalid:focus{outline-color:#e74c3c}input.wy-input-large{padding:12px;font-size:100%}textarea{overflow:auto;vertical-align:top;width:100%;font-family:Lato,proxima-nova,Helvetica Neue,Arial,sans-serif}select,textarea{padding:.5em .625em;display:inline-block;border:1px solid #ccc;font-size:80%;box-shadow:inset 0 1px 3px #ddd;-webkit-transition:border .3s linear;-moz-transition:border .3s linear;transition:border .3s linear}select{border:1px solid #ccc;background-color:#fff}select[multiple]{height:auto}select:focus,textarea:focus{outline:0}input[readonly],select[disabled],select[readonly],textarea[disabled],textarea[readonly]{cursor:not-allowed;background-color:#fafafa}input[type=checkbox][disabled],input[type=radio][disabled]{cursor:not-allowed}.wy-checkbox,.wy-radio{margin:6px 0;color:#404040;display:block}.wy-checkbox input,.wy-radio input{vertical-align:baseline}.wy-form-message-inline{display:inline-block;*display:inline;*zoom:1;vertical-align:middle}.wy-input-prefix,.wy-input-suffix{white-space:nowrap;padding:6px}.wy-input-prefix .wy-input-context,.wy-input-suffix .wy-input-context{line-height:27px;padding:0 8px;display:inline-block;font-size:80%;background-color:#f3f6f6;border:1px solid #ccc;color:#999}.wy-input-suffix .wy-input-context{border-left:0}.wy-input-prefix .wy-input-context{border-right:0}.wy-switch{position:relative;display:block;height:24px;margin-top:12px;cursor:pointer}.wy-switch:before{left:0;top:0;width:36px;height:12px;background:#ccc}.wy-switch:after,.wy-switch:before{position:absolute;content:"";display:block;border-radius:4px;-webkit-transition:all .2s ease-in-out;-moz-transition:all .2s ease-in-out;transition:all .2s ease-in-out}.wy-switch:after{width:18px;height:18px;background:#999;left:-3px;top:-3px}.wy-switch span{position:absolute;left:48px;display:block;font-size:12px;color:#ccc;line-height:1}.wy-switch.active:before{background:#1e8449}.wy-switch.active:after{left:24px;background:#27ae60}.wy-switch.disabled{cursor:not-allowed;opacity:.8}.wy-control-group.wy-control-group-error .wy-form-message,.wy-control-group.wy-control-group-error>label{color:#e74c3c}.wy-control-group.wy-control-group-error input[type=color],.wy-control-group.wy-control-group-error input[type=date],.wy-control-group.wy-control-group-error input[type=datetime-local],.wy-control-group.wy-control-group-error input[type=datetime],.wy-control-group.wy-control-group-error input[type=email],.wy-control-group.wy-control-group-error input[type=month],.wy-control-group.wy-control-group-error input[type=number],.wy-control-group.wy-control-group-error input[type=password],.wy-control-group.wy-control-group-error input[type=search],.wy-control-group.wy-control-group-error input[type=tel],.wy-control-group.wy-control-group-error input[type=text],.wy-control-group.wy-control-group-error input[type=time],.wy-control-group.wy-control-group-error input[type=url],.wy-control-group.wy-control-group-error input[type=week],.wy-control-group.wy-control-group-error textarea{border:1px solid #e74c3c}.wy-inline-validate{white-space:nowrap}.wy-inline-validate .wy-input-context{padding:.5em .625em;display:inline-block;font-size:80%}.wy-inline-validate.wy-inline-validate-success .wy-input-context{color:#27ae60}.wy-inline-validate.wy-inline-validate-danger .wy-input-context{color:#e74c3c}.wy-inline-validate.wy-inline-validate-warning .wy-input-context{color:#e67e22}.wy-inline-validate.wy-inline-validate-info .wy-input-context{color:#2980b9}.rotate-90{-webkit-transform:rotate(90deg);-moz-transform:rotate(90deg);-ms-transform:rotate(90deg);-o-transform:rotate(90deg);transform:rotate(90deg)}.rotate-180{-webkit-transform:rotate(180deg);-moz-transform:rotate(180deg);-ms-transform:rotate(180deg);-o-transform:rotate(180deg);transform:rotate(180deg)}.rotate-270{-webkit-transform:rotate(270deg);-moz-transform:rotate(270deg);-ms-transform:rotate(270deg);-o-transform:rotate(270deg);transform:rotate(270deg)}.mirror{-webkit-transform:scaleX(-1);-moz-transform:scaleX(-1);-ms-transform:scaleX(-1);-o-transform:scaleX(-1);transform:scaleX(-1)}.mirror.rotate-90{-webkit-transform:scaleX(-1) rotate(90deg);-moz-transform:scaleX(-1) rotate(90deg);-ms-transform:scaleX(-1) rotate(90deg);-o-transform:scaleX(-1) rotate(90deg);transform:scaleX(-1) rotate(90deg)}.mirror.rotate-180{-webkit-transform:scaleX(-1) rotate(180deg);-moz-transform:scaleX(-1) rotate(180deg);-ms-transform:scaleX(-1) rotate(180deg);-o-transform:scaleX(-1) rotate(180deg);transform:scaleX(-1) rotate(180deg)}.mirror.rotate-270{-webkit-transform:scaleX(-1) rotate(270deg);-moz-transform:scaleX(-1) rotate(270deg);-ms-transform:scaleX(-1) rotate(270deg);-o-transform:scaleX(-1) rotate(270deg);transform:scaleX(-1) rotate(270deg)}@media only screen and (max-width:480px){.wy-form button[type=submit]{margin:.7em 0 0}.wy-form input[type=color],.wy-form input[type=date],.wy-form input[type=datetime-local],.wy-form input[type=datetime],.wy-form input[type=email],.wy-form input[type=month],.wy-form input[type=number],.wy-form input[type=password],.wy-form input[type=search],.wy-form input[type=tel],.wy-form input[type=text],.wy-form input[type=time],.wy-form input[type=url],.wy-form input[type=week],.wy-form label{margin-bottom:.3em;display:block}.wy-form input[type=color],.wy-form input[type=date],.wy-form input[type=datetime-local],.wy-form input[type=datetime],.wy-form input[type=email],.wy-form input[type=month],.wy-form input[type=number],.wy-form input[type=password],.wy-form input[type=search],.wy-form input[type=tel],.wy-form input[type=time],.wy-form input[type=url],.wy-form input[type=week]{margin-bottom:0}.wy-form-aligned .wy-control-group label{margin-bottom:.3em;text-align:left;display:block;width:100%}.wy-form-aligned .wy-control{margin:1.5em 0 0}.wy-form-message,.wy-form-message-inline,.wy-form .wy-help-inline{display:block;font-size:80%;padding:6px 0}}@media screen and (max-width:768px){.tablet-hide{display:none}}@media screen and (max-width:480px){.mobile-hide{display:none}}.float-left{float:left}.float-right{float:right}.full-width{width:100%}.rst-content table.docutils,.rst-content table.field-list,.wy-table{border-collapse:collapse;border-spacing:0;empty-cells:show;margin-bottom:24px}.rst-content table.docutils caption,.rst-content table.field-list caption,.wy-table caption{color:#000;font:italic 85%/1 arial,sans-serif;padding:1em 0;text-align:center}.rst-content table.docutils td,.rst-content table.docutils th,.rst-content table.field-list td,.rst-content table.field-list th,.wy-table td,.wy-table th{font-size:90%;margin:0;overflow:visible;padding:8px 16px}.rst-content table.docutils td:first-child,.rst-content table.docutils th:first-child,.rst-content table.field-list td:first-child,.rst-content table.field-list th:first-child,.wy-table td:first-child,.wy-table th:first-child{border-left-width:0}.rst-content table.docutils thead,.rst-content table.field-list thead,.wy-table thead{color:#000;text-align:left;vertical-align:bottom;white-space:nowrap}.rst-content table.docutils thead th,.rst-content table.field-list thead th,.wy-table thead th{font-weight:700;border-bottom:2px solid #e1e4e5}.rst-content table.docutils td,.rst-content table.field-list td,.wy-table td{background-color:transparent;vertical-align:middle}.rst-content table.docutils td p,.rst-content table.field-list td p,.wy-table td p{line-height:18px}.rst-content table.docutils td p:last-child,.rst-content table.field-list td p:last-child,.wy-table td p:last-child{margin-bottom:0}.rst-content table.docutils .wy-table-cell-min,.rst-content table.field-list .wy-table-cell-min,.wy-table .wy-table-cell-min{width:1%;padding-right:0}.rst-content table.docutils .wy-table-cell-min input[type=checkbox],.rst-content table.field-list .wy-table-cell-min input[type=checkbox],.wy-table .wy-table-cell-min input[type=checkbox]{margin:0}.wy-table-secondary{color:grey;font-size:90%}.wy-table-tertiary{color:grey;font-size:80%}.rst-content table.docutils:not(.field-list) tr:nth-child(2n-1) td,.wy-table-backed,.wy-table-odd td,.wy-table-striped tr:nth-child(2n-1) td{background-color:#f3f6f6}.rst-content table.docutils,.wy-table-bordered-all{border:1px solid #e1e4e5}.rst-content table.docutils td,.wy-table-bordered-all td{border-bottom:1px solid #e1e4e5;border-left:1px solid #e1e4e5}.rst-content table.docutils tbody>tr:last-child td,.wy-table-bordered-all tbody>tr:last-child td{border-bottom-width:0}.wy-table-bordered{border:1px solid #e1e4e5}.wy-table-bordered-rows td{border-bottom:1px solid #e1e4e5}.wy-table-bordered-rows tbody>tr:last-child td{border-bottom-width:0}.wy-table-horizontal td,.wy-table-horizontal th{border-width:0 0 1px;border-bottom:1px solid #e1e4e5}.wy-table-horizontal tbody>tr:last-child td{border-bottom-width:0}.wy-table-responsive{margin-bottom:24px;max-width:100%;overflow:auto}.wy-table-responsive table{margin-bottom:0!important}.wy-table-responsive table td,.wy-table-responsive table th{white-space:nowrap}a{color:#2980b9;text-decoration:none;cursor:pointer}a:hover{color:#3091d1}a:visited{color:#9b59b6}html{height:100%}body,html{overflow-x:hidden}body{font-family:Lato,proxima-nova,Helvetica Neue,Arial,sans-serif;font-weight:400;color:#404040;min-height:100%;background:#edf0f2}.wy-text-left{text-align:left}.wy-text-center{text-align:center}.wy-text-right{text-align:right}.wy-text-large{font-size:120%}.wy-text-normal{font-size:100%}.wy-text-small,small{font-size:80%}.wy-text-strike{text-decoration:line-through}.wy-text-warning{color:#e67e22!important}a.wy-text-warning:hover{color:#eb9950!important}.wy-text-info{color:#2980b9!important}a.wy-text-info:hover{color:#409ad5!important}.wy-text-success{color:#27ae60!important}a.wy-text-success:hover{color:#36d278!important}.wy-text-danger{color:#e74c3c!important}a.wy-text-danger:hover{color:#ed7669!important}.wy-text-neutral{color:#404040!important}a.wy-text-neutral:hover{color:#595959!important}.rst-content .toctree-wrapper>p.caption,h1,h2,h3,h4,h5,h6,legend{margin-top:0;font-weight:700;font-family:Roboto Slab,ff-tisa-web-pro,Georgia,Arial,sans-serif}p{line-height:24px;font-size:16px;margin:0 0 24px}h1{font-size:175%}.rst-content .toctree-wrapper>p.caption,h2{font-size:150%}h3{font-size:125%}h4{font-size:115%}h5{font-size:110%}h6{font-size:100%}hr{display:block;height:1px;border:0;border-top:1px solid #e1e4e5;margin:24px 0;padding:0}.rst-content code,.rst-content tt,code{white-space:nowrap;max-width:100%;background:#fff;border:1px solid #e1e4e5;font-size:75%;padding:0 5px;font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,Courier,monospace;color:#e74c3c;overflow-x:auto}.rst-content tt.code-large,code.code-large{font-size:90%}.rst-content .section ul,.rst-content .toctree-wrapper ul,.rst-content section ul,.wy-plain-list-disc,article ul{list-style:disc;line-height:24px;margin-bottom:24px}.rst-content .section ul li,.rst-content .toctree-wrapper ul li,.rst-content section ul li,.wy-plain-list-disc li,article ul li{list-style:disc;margin-left:24px}.rst-content .section ul li p:last-child,.rst-content .section ul li ul,.rst-content .toctree-wrapper ul li p:last-child,.rst-content .toctree-wrapper ul li ul,.rst-content section ul li p:last-child,.rst-content section ul li ul,.wy-plain-list-disc li p:last-child,.wy-plain-list-disc li ul,article ul li p:last-child,article ul li ul{margin-bottom:0}.rst-content .section ul li li,.rst-content .toctree-wrapper ul li li,.rst-content section ul li li,.wy-plain-list-disc li li,article ul li li{list-style:circle}.rst-content .section ul li li li,.rst-content .toctree-wrapper ul li li li,.rst-content section ul li li li,.wy-plain-list-disc li li li,article ul li li li{list-style:square}.rst-content .section ul li ol li,.rst-content .toctree-wrapper ul li ol li,.rst-content section ul li ol li,.wy-plain-list-disc li ol li,article ul li ol li{list-style:decimal}.rst-content .section ol,.rst-content .section ol.arabic,.rst-content .toctree-wrapper ol,.rst-content .toctree-wrapper ol.arabic,.rst-content section ol,.rst-content section ol.arabic,.wy-plain-list-decimal,article ol{list-style:decimal;line-height:24px;margin-bottom:24px}.rst-content .section ol.arabic li,.rst-content .section ol li,.rst-content .toctree-wrapper ol.arabic li,.rst-content .toctree-wrapper ol li,.rst-content section ol.arabic li,.rst-content section ol li,.wy-plain-list-decimal li,article ol li{list-style:decimal;margin-left:24px}.rst-content .section ol.arabic li ul,.rst-content .section ol li p:last-child,.rst-content .section ol li ul,.rst-content .toctree-wrapper ol.arabic li ul,.rst-content .toctree-wrapper ol li p:last-child,.rst-content .toctree-wrapper ol li ul,.rst-content section ol.arabic li ul,.rst-content section ol li p:last-child,.rst-content section ol li ul,.wy-plain-list-decimal li p:last-child,.wy-plain-list-decimal li ul,article ol li p:last-child,article ol li ul{margin-bottom:0}.rst-content .section ol.arabic li ul li,.rst-content .section ol li ul li,.rst-content .toctree-wrapper ol.arabic li ul li,.rst-content .toctree-wrapper ol li ul li,.rst-content section ol.arabic li ul li,.rst-content section ol li ul li,.wy-plain-list-decimal li ul li,article ol li ul li{list-style:disc}.wy-breadcrumbs{*zoom:1}.wy-breadcrumbs:after,.wy-breadcrumbs:before{display:table;content:""}.wy-breadcrumbs:after{clear:both}.wy-breadcrumbs>li{display:inline-block;padding-top:5px}.wy-breadcrumbs>li.wy-breadcrumbs-aside{float:right}.rst-content .wy-breadcrumbs>li code,.rst-content .wy-breadcrumbs>li tt,.wy-breadcrumbs>li .rst-content tt,.wy-breadcrumbs>li code{all:inherit;color:inherit}.breadcrumb-item:before{content:"/";color:#bbb;font-size:13px;padding:0 6px 0 3px}.wy-breadcrumbs-extra{margin-bottom:0;color:#b3b3b3;font-size:80%;display:inline-block}@media screen and (max-width:480px){.wy-breadcrumbs-extra,.wy-breadcrumbs li.wy-breadcrumbs-aside{display:none}}@media print{.wy-breadcrumbs li.wy-breadcrumbs-aside{display:none}}html{font-size:16px}.wy-affix{position:fixed;top:1.618em}.wy-menu a:hover{text-decoration:none}.wy-menu-horiz{*zoom:1}.wy-menu-horiz:after,.wy-menu-horiz:before{display:table;content:""}.wy-menu-horiz:after{clear:both}.wy-menu-horiz li,.wy-menu-horiz ul{display:inline-block}.wy-menu-horiz li:hover{background:hsla(0,0%,100%,.1)}.wy-menu-horiz li.divide-left{border-left:1px solid #404040}.wy-menu-horiz li.divide-right{border-right:1px solid #404040}.wy-menu-horiz a{height:32px;display:inline-block;line-height:32px;padding:0 16px}.wy-menu-vertical{width:300px}.wy-menu-vertical header,.wy-menu-vertical p.caption{color:#55a5d9;height:32px;line-height:32px;padding:0 1.618em;margin:12px 0 0;display:block;font-weight:700;text-transform:uppercase;font-size:85%;white-space:nowrap}.wy-menu-vertical ul{margin-bottom:0}.wy-menu-vertical li.divide-top{border-top:1px solid #404040}.wy-menu-vertical li.divide-bottom{border-bottom:1px solid #404040}.wy-menu-vertical li.current{background:#e3e3e3}.wy-menu-vertical li.current a{color:grey;border-right:1px solid #c9c9c9;padding:.4045em 2.427em}.wy-menu-vertical li.current a:hover{background:#d6d6d6}.rst-content .wy-menu-vertical li tt,.wy-menu-vertical li .rst-content tt,.wy-menu-vertical li code{border:none;background:inherit;color:inherit;padding-left:0;padding-right:0}.wy-menu-vertical li button.toctree-expand{display:block;float:left;margin-left:-1.2em;line-height:18px;color:#4d4d4d;border:none;background:none;padding:0}.wy-menu-vertical li.current>a,.wy-menu-vertical li.on a{color:#404040;font-weight:700;position:relative;background:#fcfcfc;border:none;padding:.4045em 1.618em}.wy-menu-vertical li.current>a:hover,.wy-menu-vertical li.on a:hover{background:#fcfcfc}.wy-menu-vertical li.current>a:hover button.toctree-expand,.wy-menu-vertical li.on a:hover button.toctree-expand{color:grey}.wy-menu-vertical li.current>a button.toctree-expand,.wy-menu-vertical li.on a button.toctree-expand{display:block;line-height:18px;color:#333}.wy-menu-vertical li.toctree-l1.current>a{border-bottom:1px solid #c9c9c9;border-top:1px solid #c9c9c9}.wy-menu-vertical .toctree-l1.current .toctree-l2>ul,.wy-menu-vertical .toctree-l2.current .toctree-l3>ul,.wy-menu-vertical .toctree-l3.current .toctree-l4>ul,.wy-menu-vertical .toctree-l4.current .toctree-l5>ul,.wy-menu-vertical .toctree-l5.current .toctree-l6>ul,.wy-menu-vertical .toctree-l6.current .toctree-l7>ul,.wy-menu-vertical .toctree-l7.current .toctree-l8>ul,.wy-menu-vertical .toctree-l8.current .toctree-l9>ul,.wy-menu-vertical .toctree-l9.current .toctree-l10>ul,.wy-menu-vertical .toctree-l10.current .toctree-l11>ul{display:none}.wy-menu-vertical .toctree-l1.current .current.toctree-l2>ul,.wy-menu-vertical .toctree-l2.current .current.toctree-l3>ul,.wy-menu-vertical .toctree-l3.current .current.toctree-l4>ul,.wy-menu-vertical .toctree-l4.current .current.toctree-l5>ul,.wy-menu-vertical .toctree-l5.current .current.toctree-l6>ul,.wy-menu-vertical .toctree-l6.current .current.toctree-l7>ul,.wy-menu-vertical .toctree-l7.current .current.toctree-l8>ul,.wy-menu-vertical .toctree-l8.current .current.toctree-l9>ul,.wy-menu-vertical .toctree-l9.current .current.toctree-l10>ul,.wy-menu-vertical .toctree-l10.current .current.toctree-l11>ul{display:block}.wy-menu-vertical li.toctree-l3,.wy-menu-vertical li.toctree-l4{font-size:.9em}.wy-menu-vertical li.toctree-l2 a,.wy-menu-vertical li.toctree-l3 a,.wy-menu-vertical li.toctree-l4 a,.wy-menu-vertical li.toctree-l5 a,.wy-menu-vertical li.toctree-l6 a,.wy-menu-vertical li.toctree-l7 a,.wy-menu-vertical li.toctree-l8 a,.wy-menu-vertical li.toctree-l9 a,.wy-menu-vertical li.toctree-l10 a{color:#404040}.wy-menu-vertical li.toctree-l2 a:hover button.toctree-expand,.wy-menu-vertical li.toctree-l3 a:hover button.toctree-expand,.wy-menu-vertical li.toctree-l4 a:hover button.toctree-expand,.wy-menu-vertical li.toctree-l5 a:hover button.toctree-expand,.wy-menu-vertical li.toctree-l6 a:hover button.toctree-expand,.wy-menu-vertical li.toctree-l7 a:hover button.toctree-expand,.wy-menu-vertical li.toctree-l8 a:hover button.toctree-expand,.wy-menu-vertical li.toctree-l9 a:hover button.toctree-expand,.wy-menu-vertical li.toctree-l10 a:hover button.toctree-expand{color:grey}.wy-menu-vertical li.toctree-l2.current li.toctree-l3>a,.wy-menu-vertical li.toctree-l3.current li.toctree-l4>a,.wy-menu-vertical li.toctree-l4.current li.toctree-l5>a,.wy-menu-vertical li.toctree-l5.current li.toctree-l6>a,.wy-menu-vertical li.toctree-l6.current li.toctree-l7>a,.wy-menu-vertical li.toctree-l7.current li.toctree-l8>a,.wy-menu-vertical li.toctree-l8.current li.toctree-l9>a,.wy-menu-vertical li.toctree-l9.current li.toctree-l10>a,.wy-menu-vertical li.toctree-l10.current li.toctree-l11>a{display:block}.wy-menu-vertical li.toctree-l2.current>a{padding:.4045em 2.427em}.wy-menu-vertical li.toctree-l2.current li.toctree-l3>a{padding:.4045em 1.618em .4045em 4.045em}.wy-menu-vertical li.toctree-l3.current>a{padding:.4045em 4.045em}.wy-menu-vertical li.toctree-l3.current li.toctree-l4>a{padding:.4045em 1.618em .4045em 5.663em}.wy-menu-vertical li.toctree-l4.current>a{padding:.4045em 5.663em}.wy-menu-vertical li.toctree-l4.current li.toctree-l5>a{padding:.4045em 1.618em .4045em 7.281em}.wy-menu-vertical li.toctree-l5.current>a{padding:.4045em 7.281em}.wy-menu-vertical li.toctree-l5.current li.toctree-l6>a{padding:.4045em 1.618em .4045em 8.899em}.wy-menu-vertical li.toctree-l6.current>a{padding:.4045em 8.899em}.wy-menu-vertical li.toctree-l6.current li.toctree-l7>a{padding:.4045em 1.618em .4045em 10.517em}.wy-menu-vertical li.toctree-l7.current>a{padding:.4045em 10.517em}.wy-menu-vertical li.toctree-l7.current li.toctree-l8>a{padding:.4045em 1.618em .4045em 12.135em}.wy-menu-vertical li.toctree-l8.current>a{padding:.4045em 12.135em}.wy-menu-vertical li.toctree-l8.current li.toctree-l9>a{padding:.4045em 1.618em .4045em 13.753em}.wy-menu-vertical li.toctree-l9.current>a{padding:.4045em 13.753em}.wy-menu-vertical li.toctree-l9.current li.toctree-l10>a{padding:.4045em 1.618em .4045em 15.371em}.wy-menu-vertical li.toctree-l10.current>a{padding:.4045em 15.371em}.wy-menu-vertical li.toctree-l10.current li.toctree-l11>a{padding:.4045em 1.618em .4045em 16.989em}.wy-menu-vertical li.toctree-l2.current>a,.wy-menu-vertical li.toctree-l2.current li.toctree-l3>a{background:#c9c9c9}.wy-menu-vertical li.toctree-l2 button.toctree-expand{color:#a3a3a3}.wy-menu-vertical li.toctree-l3.current>a,.wy-menu-vertical li.toctree-l3.current li.toctree-l4>a{background:#bdbdbd}.wy-menu-vertical li.toctree-l3 button.toctree-expand{color:#969696}.wy-menu-vertical li.current ul{display:block}.wy-menu-vertical li ul{margin-bottom:0;display:none}.wy-menu-vertical li ul li a{margin-bottom:0;color:#d9d9d9;font-weight:400}.wy-menu-vertical a{line-height:18px;padding:.4045em 1.618em;display:block;position:relative;font-size:90%;color:#d9d9d9}.wy-menu-vertical a:hover{background-color:#4e4a4a;cursor:pointer}.wy-menu-vertical a:hover button.toctree-expand{color:#d9d9d9}.wy-menu-vertical a:active{background-color:#2980b9;cursor:pointer;color:#fff}.wy-menu-vertical a:active button.toctree-expand{color:#fff}.wy-side-nav-search{display:block;width:300px;padding:.809em;margin-bottom:.809em;z-index:200;background-color:#2980b9;text-align:center;color:#fcfcfc}.wy-side-nav-search input[type=text]{width:100%;border-radius:50px;padding:6px 12px;border-color:#2472a4}.wy-side-nav-search img{display:block;margin:auto auto .809em;height:45px;width:45px;background-color:#2980b9;padding:5px;border-radius:100%}.wy-side-nav-search .wy-dropdown>a,.wy-side-nav-search>a{color:#fcfcfc;font-size:100%;font-weight:700;display:inline-block;padding:4px 6px;margin-bottom:.809em;max-width:100%}.wy-side-nav-search .wy-dropdown>a:hover,.wy-side-nav-search>a:hover{background:hsla(0,0%,100%,.1)}.wy-side-nav-search .wy-dropdown>a img.logo,.wy-side-nav-search>a img.logo{display:block;margin:0 auto;height:auto;width:auto;border-radius:0;max-width:100%;background:transparent}.wy-side-nav-search .wy-dropdown>a.icon img.logo,.wy-side-nav-search>a.icon img.logo{margin-top:.85em}.wy-side-nav-search>div.version{margin-top:-.4045em;margin-bottom:.809em;font-weight:400;color:hsla(0,0%,100%,.3)}.wy-nav .wy-menu-vertical header{color:#2980b9}.wy-nav .wy-menu-vertical a{color:#b3b3b3}.wy-nav .wy-menu-vertical a:hover{background-color:#2980b9;color:#fff}[data-menu-wrap]{-webkit-transition:all .2s ease-in;-moz-transition:all .2s ease-in;transition:all .2s ease-in;position:absolute;opacity:1;width:100%;opacity:0}[data-menu-wrap].move-center{left:0;right:auto;opacity:1}[data-menu-wrap].move-left{right:auto;left:-100%;opacity:0}[data-menu-wrap].move-right{right:-100%;left:auto;opacity:0}.wy-body-for-nav{background:#fcfcfc}.wy-grid-for-nav{position:absolute;width:100%;height:100%}.wy-nav-side{position:fixed;top:0;bottom:0;left:0;padding-bottom:2em;width:300px;overflow-x:hidden;overflow-y:hidden;min-height:100%;color:#9b9b9b;background:#343131;z-index:200}.wy-side-scroll{width:320px;position:relative;overflow-x:hidden;overflow-y:scroll;height:100%}.wy-nav-top{display:none;background:#2980b9;color:#fff;padding:.4045em .809em;position:relative;line-height:50px;text-align:center;font-size:100%;*zoom:1}.wy-nav-top:after,.wy-nav-top:before{display:table;content:""}.wy-nav-top:after{clear:both}.wy-nav-top a{color:#fff;font-weight:700}.wy-nav-top img{margin-right:12px;height:45px;width:45px;background-color:#2980b9;padding:5px;border-radius:100%}.wy-nav-top i{font-size:30px;float:left;cursor:pointer;padding-top:inherit}.wy-nav-content-wrap{margin-left:300px;background:#fcfcfc;min-height:100%}.wy-nav-content{padding:1.618em 3.236em;height:100%;max-width:800px;margin:auto}.wy-body-mask{position:fixed;width:100%;height:100%;background:rgba(0,0,0,.2);display:none;z-index:499}.wy-body-mask.on{display:block}footer{color:grey}footer p{margin-bottom:12px}.rst-content footer span.commit tt,footer span.commit .rst-content tt,footer span.commit code{padding:0;font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,Courier,monospace;font-size:1em;background:none;border:none;color:grey}.rst-footer-buttons{*zoom:1}.rst-footer-buttons:after,.rst-footer-buttons:before{width:100%;display:table;content:""}.rst-footer-buttons:after{clear:both}.rst-breadcrumbs-buttons{margin-top:12px;*zoom:1}.rst-breadcrumbs-buttons:after,.rst-breadcrumbs-buttons:before{display:table;content:""}.rst-breadcrumbs-buttons:after{clear:both}#search-results .search li{margin-bottom:24px;border-bottom:1px solid #e1e4e5;padding-bottom:24px}#search-results .search li:first-child{border-top:1px solid #e1e4e5;padding-top:24px}#search-results .search li a{font-size:120%;margin-bottom:12px;display:inline-block}#search-results .context{color:grey;font-size:90%}.genindextable li>ul{margin-left:24px}@media screen and (max-width:768px){.wy-body-for-nav{background:#fcfcfc}.wy-nav-top{display:block}.wy-nav-side{left:-300px}.wy-nav-side.shift{width:85%;left:0}.wy-menu.wy-menu-vertical,.wy-side-nav-search,.wy-side-scroll{width:auto}.wy-nav-content-wrap{margin-left:0}.wy-nav-content-wrap .wy-nav-content{padding:1.618em}.wy-nav-content-wrap.shift{position:fixed;min-width:100%;left:85%;top:0;height:100%;overflow:hidden}}@media screen and (min-width:1100px){.wy-nav-content-wrap{background:rgba(0,0,0,.05)}.wy-nav-content{margin:0;background:#fcfcfc}}@media print{.rst-versions,.wy-nav-side,footer{display:none}.wy-nav-content-wrap{margin-left:0}}.rst-versions{position:fixed;bottom:0;left:0;width:300px;color:#fcfcfc;background:#1f1d1d;font-family:Lato,proxima-nova,Helvetica Neue,Arial,sans-serif;z-index:400}.rst-versions a{color:#2980b9;text-decoration:none}.rst-versions .rst-badge-small{display:none}.rst-versions .rst-current-version{padding:12px;background-color:#272525;display:block;text-align:right;font-size:90%;cursor:pointer;color:#27ae60;*zoom:1}.rst-versions .rst-current-version:after,.rst-versions .rst-current-version:before{display:table;content:""}.rst-versions .rst-current-version:after{clear:both}.rst-content .code-block-caption .rst-versions .rst-current-version .headerlink,.rst-content .eqno .rst-versions .rst-current-version .headerlink,.rst-content .rst-versions .rst-current-version .admonition-title,.rst-content code.download .rst-versions .rst-current-version span:first-child,.rst-content dl dt .rst-versions .rst-current-version .headerlink,.rst-content h1 .rst-versions .rst-current-version .headerlink,.rst-content h2 .rst-versions .rst-current-version .headerlink,.rst-content h3 .rst-versions .rst-current-version .headerlink,.rst-content h4 .rst-versions .rst-current-version .headerlink,.rst-content h5 .rst-versions .rst-current-version .headerlink,.rst-content h6 .rst-versions .rst-current-version .headerlink,.rst-content p .rst-versions .rst-current-version .headerlink,.rst-content table>caption .rst-versions .rst-current-version .headerlink,.rst-content tt.download .rst-versions .rst-current-version span:first-child,.rst-versions .rst-current-version .fa,.rst-versions .rst-current-version .icon,.rst-versions .rst-current-version .rst-content .admonition-title,.rst-versions .rst-current-version .rst-content .code-block-caption .headerlink,.rst-versions .rst-current-version .rst-content .eqno .headerlink,.rst-versions .rst-current-version .rst-content code.download span:first-child,.rst-versions .rst-current-version .rst-content dl dt .headerlink,.rst-versions .rst-current-version .rst-content h1 .headerlink,.rst-versions .rst-current-version .rst-content h2 .headerlink,.rst-versions .rst-current-version .rst-content h3 .headerlink,.rst-versions .rst-current-version .rst-content h4 .headerlink,.rst-versions .rst-current-version .rst-content h5 .headerlink,.rst-versions .rst-current-version .rst-content h6 .headerlink,.rst-versions .rst-current-version .rst-content p .headerlink,.rst-versions .rst-current-version .rst-content table>caption .headerlink,.rst-versions .rst-current-version .rst-content tt.download span:first-child,.rst-versions .rst-current-version .wy-menu-vertical li button.toctree-expand,.wy-menu-vertical li .rst-versions .rst-current-version button.toctree-expand{color:#fcfcfc}.rst-versions .rst-current-version .fa-book,.rst-versions .rst-current-version .icon-book{float:left}.rst-versions .rst-current-version.rst-out-of-date{background-color:#e74c3c;color:#fff}.rst-versions .rst-current-version.rst-active-old-version{background-color:#f1c40f;color:#000}.rst-versions.shift-up{height:auto;max-height:100%;overflow-y:scroll}.rst-versions.shift-up .rst-other-versions{display:block}.rst-versions .rst-other-versions{font-size:90%;padding:12px;color:grey;display:none}.rst-versions .rst-other-versions hr{display:block;height:1px;border:0;margin:20px 0;padding:0;border-top:1px solid #413d3d}.rst-versions .rst-other-versions dd{display:inline-block;margin:0}.rst-versions .rst-other-versions dd a{display:inline-block;padding:6px;color:#fcfcfc}.rst-versions.rst-badge{width:auto;bottom:20px;right:20px;left:auto;border:none;max-width:300px;max-height:90%}.rst-versions.rst-badge .fa-book,.rst-versions.rst-badge .icon-book{float:none;line-height:30px}.rst-versions.rst-badge.shift-up .rst-current-version{text-align:right}.rst-versions.rst-badge.shift-up .rst-current-version .fa-book,.rst-versions.rst-badge.shift-up .rst-current-version .icon-book{float:left}.rst-versions.rst-badge>.rst-current-version{width:auto;height:30px;line-height:30px;padding:0 6px;display:block;text-align:center}@media screen and (max-width:768px){.rst-versions{width:85%;display:none}.rst-versions.shift{display:block}}.rst-content .toctree-wrapper>p.caption,.rst-content h1,.rst-content h2,.rst-content h3,.rst-content h4,.rst-content h5,.rst-content h6{margin-bottom:24px}.rst-content img{max-width:100%;height:auto}.rst-content div.figure,.rst-content figure{margin-bottom:24px}.rst-content div.figure .caption-text,.rst-content figure .caption-text{font-style:italic}.rst-content div.figure p:last-child.caption,.rst-content figure p:last-child.caption{margin-bottom:0}.rst-content div.figure.align-center,.rst-content figure.align-center{text-align:center}.rst-content .section>a>img,.rst-content .section>img,.rst-content section>a>img,.rst-content section>img{margin-bottom:24px}.rst-content abbr[title]{text-decoration:none}.rst-content.style-external-links a.reference.external:after{font-family:FontAwesome;content:"\f08e";color:#b3b3b3;vertical-align:super;font-size:60%;margin:0 .2em}.rst-content blockquote{margin-left:24px;line-height:24px;margin-bottom:24px}.rst-content pre.literal-block{white-space:pre;margin:0;padding:12px;font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,Courier,monospace;display:block;overflow:auto}.rst-content div[class^=highlight],.rst-content pre.literal-block{border:1px solid #e1e4e5;overflow-x:auto;margin:1px 0 24px}.rst-content div[class^=highlight] div[class^=highlight],.rst-content pre.literal-block div[class^=highlight]{padding:0;border:none;margin:0}.rst-content div[class^=highlight] td.code{width:100%}.rst-content .linenodiv pre{border-right:1px solid #e6e9ea;margin:0;padding:12px;font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,Courier,monospace;user-select:none;pointer-events:none}.rst-content div[class^=highlight] pre{white-space:pre;margin:0;padding:12px;display:block;overflow:auto}.rst-content div[class^=highlight] pre .hll{display:block;margin:0 -12px;padding:0 12px}.rst-content .linenodiv pre,.rst-content div[class^=highlight] pre,.rst-content pre.literal-block{font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,Courier,monospace;font-size:12px;line-height:1.4}.rst-content div.highlight .gp,.rst-content div.highlight span.linenos{user-select:none;pointer-events:none}.rst-content div.highlight span.linenos{display:inline-block;padding-left:0;padding-right:12px;margin-right:12px;border-right:1px solid #e6e9ea}.rst-content .code-block-caption{font-style:italic;font-size:85%;line-height:1;padding:1em 0;text-align:center}@media print{.rst-content .codeblock,.rst-content div[class^=highlight],.rst-content div[class^=highlight] pre{white-space:pre-wrap}}.rst-content .admonition,.rst-content .admonition-todo,.rst-content .attention,.rst-content .caution,.rst-content .danger,.rst-content .error,.rst-content .hint,.rst-content .important,.rst-content .note,.rst-content .seealso,.rst-content .tip,.rst-content .warning{clear:both}.rst-content .admonition-todo .last,.rst-content .admonition-todo>:last-child,.rst-content .admonition .last,.rst-content .admonition>:last-child,.rst-content .attention .last,.rst-content .attention>:last-child,.rst-content .caution .last,.rst-content .caution>:last-child,.rst-content .danger .last,.rst-content .danger>:last-child,.rst-content .error .last,.rst-content .error>:last-child,.rst-content .hint .last,.rst-content .hint>:last-child,.rst-content .important .last,.rst-content .important>:last-child,.rst-content .note .last,.rst-content .note>:last-child,.rst-content .seealso .last,.rst-content .seealso>:last-child,.rst-content .tip .last,.rst-content .tip>:last-child,.rst-content .warning .last,.rst-content .warning>:last-child{margin-bottom:0}.rst-content .admonition-title:before{margin-right:4px}.rst-content .admonition table{border-color:rgba(0,0,0,.1)}.rst-content .admonition table td,.rst-content .admonition table th{background:transparent!important;border-color:rgba(0,0,0,.1)!important}.rst-content .section ol.loweralpha,.rst-content .section ol.loweralpha>li,.rst-content .toctree-wrapper ol.loweralpha,.rst-content .toctree-wrapper ol.loweralpha>li,.rst-content section ol.loweralpha,.rst-content section ol.loweralpha>li{list-style:lower-alpha}.rst-content .section ol.upperalpha,.rst-content .section ol.upperalpha>li,.rst-content .toctree-wrapper ol.upperalpha,.rst-content .toctree-wrapper ol.upperalpha>li,.rst-content section ol.upperalpha,.rst-content section ol.upperalpha>li{list-style:upper-alpha}.rst-content .section ol li>*,.rst-content .section ul li>*,.rst-content .toctree-wrapper ol li>*,.rst-content .toctree-wrapper ul li>*,.rst-content section ol li>*,.rst-content section ul li>*{margin-top:12px;margin-bottom:12px}.rst-content .section ol li>:first-child,.rst-content .section ul li>:first-child,.rst-content .toctree-wrapper ol li>:first-child,.rst-content .toctree-wrapper ul li>:first-child,.rst-content section ol li>:first-child,.rst-content section ul li>:first-child{margin-top:0}.rst-content .section ol li>p,.rst-content .section ol li>p:last-child,.rst-content .section ul li>p,.rst-content .section ul li>p:last-child,.rst-content .toctree-wrapper ol li>p,.rst-content .toctree-wrapper ol li>p:last-child,.rst-content .toctree-wrapper ul li>p,.rst-content .toctree-wrapper ul li>p:last-child,.rst-content section ol li>p,.rst-content section ol li>p:last-child,.rst-content section ul li>p,.rst-content section ul li>p:last-child{margin-bottom:12px}.rst-content .section ol li>p:only-child,.rst-content .section ol li>p:only-child:last-child,.rst-content .section ul li>p:only-child,.rst-content .section ul li>p:only-child:last-child,.rst-content .toctree-wrapper ol li>p:only-child,.rst-content .toctree-wrapper ol li>p:only-child:last-child,.rst-content .toctree-wrapper ul li>p:only-child,.rst-content .toctree-wrapper ul li>p:only-child:last-child,.rst-content section ol li>p:only-child,.rst-content section ol li>p:only-child:last-child,.rst-content section ul li>p:only-child,.rst-content section ul li>p:only-child:last-child{margin-bottom:0}.rst-content .section ol li>ol,.rst-content .section ol li>ul,.rst-content .section ul li>ol,.rst-content .section ul li>ul,.rst-content .toctree-wrapper ol li>ol,.rst-content .toctree-wrapper ol li>ul,.rst-content .toctree-wrapper ul li>ol,.rst-content .toctree-wrapper ul li>ul,.rst-content section ol li>ol,.rst-content section ol li>ul,.rst-content section ul li>ol,.rst-content section ul li>ul{margin-bottom:12px}.rst-content .section ol.simple li>*,.rst-content .section ol.simple li ol,.rst-content .section ol.simple li ul,.rst-content .section ul.simple li>*,.rst-content .section ul.simple li ol,.rst-content .section ul.simple li ul,.rst-content .toctree-wrapper ol.simple li>*,.rst-content .toctree-wrapper ol.simple li ol,.rst-content .toctree-wrapper ol.simple li ul,.rst-content .toctree-wrapper ul.simple li>*,.rst-content .toctree-wrapper ul.simple li ol,.rst-content .toctree-wrapper ul.simple li ul,.rst-content section ol.simple li>*,.rst-content section ol.simple li ol,.rst-content section ol.simple li ul,.rst-content section ul.simple li>*,.rst-content section ul.simple li ol,.rst-content section ul.simple li ul{margin-top:0;margin-bottom:0}.rst-content .line-block{margin-left:0;margin-bottom:24px;line-height:24px}.rst-content .line-block .line-block{margin-left:24px;margin-bottom:0}.rst-content .topic-title{font-weight:700;margin-bottom:12px}.rst-content .toc-backref{color:#404040}.rst-content .align-right{float:right;margin:0 0 24px 24px}.rst-content .align-left{float:left;margin:0 24px 24px 0}.rst-content .align-center{margin:auto}.rst-content .align-center:not(table){display:block}.rst-content .code-block-caption .headerlink,.rst-content .eqno .headerlink,.rst-content .toctree-wrapper>p.caption .headerlink,.rst-content dl dt .headerlink,.rst-content h1 .headerlink,.rst-content h2 .headerlink,.rst-content h3 .headerlink,.rst-content h4 .headerlink,.rst-content h5 .headerlink,.rst-content h6 .headerlink,.rst-content p.caption .headerlink,.rst-content p .headerlink,.rst-content table>caption .headerlink{opacity:0;font-size:14px;font-family:FontAwesome;margin-left:.5em}.rst-content .code-block-caption .headerlink:focus,.rst-content .code-block-caption:hover .headerlink,.rst-content .eqno .headerlink:focus,.rst-content .eqno:hover .headerlink,.rst-content .toctree-wrapper>p.caption .headerlink:focus,.rst-content .toctree-wrapper>p.caption:hover .headerlink,.rst-content dl dt .headerlink:focus,.rst-content dl dt:hover .headerlink,.rst-content h1 .headerlink:focus,.rst-content h1:hover .headerlink,.rst-content h2 .headerlink:focus,.rst-content h2:hover .headerlink,.rst-content h3 .headerlink:focus,.rst-content h3:hover .headerlink,.rst-content h4 .headerlink:focus,.rst-content h4:hover .headerlink,.rst-content h5 .headerlink:focus,.rst-content h5:hover .headerlink,.rst-content h6 .headerlink:focus,.rst-content h6:hover .headerlink,.rst-content p.caption .headerlink:focus,.rst-content p.caption:hover .headerlink,.rst-content p .headerlink:focus,.rst-content p:hover .headerlink,.rst-content table>caption .headerlink:focus,.rst-content table>caption:hover .headerlink{opacity:1}.rst-content p a{overflow-wrap:anywhere}.rst-content .wy-table td p,.rst-content .wy-table td ul,.rst-content .wy-table th p,.rst-content .wy-table th ul,.rst-content table.docutils td p,.rst-content table.docutils td ul,.rst-content table.docutils th p,.rst-content table.docutils th ul,.rst-content table.field-list td p,.rst-content table.field-list td ul,.rst-content table.field-list th p,.rst-content table.field-list th ul{font-size:inherit}.rst-content .btn:focus{outline:2px solid}.rst-content table>caption .headerlink:after{font-size:12px}.rst-content .centered{text-align:center}.rst-content .sidebar{float:right;width:40%;display:block;margin:0 0 24px 24px;padding:24px;background:#f3f6f6;border:1px solid #e1e4e5}.rst-content .sidebar dl,.rst-content .sidebar p,.rst-content .sidebar ul{font-size:90%}.rst-content .sidebar .last,.rst-content .sidebar>:last-child{margin-bottom:0}.rst-content .sidebar .sidebar-title{display:block;font-family:Roboto Slab,ff-tisa-web-pro,Georgia,Arial,sans-serif;font-weight:700;background:#e1e4e5;padding:6px 12px;margin:-24px -24px 24px;font-size:100%}.rst-content .highlighted{background:#f1c40f;box-shadow:0 0 0 2px #f1c40f;display:inline;font-weight:700}.rst-content .citation-reference,.rst-content .footnote-reference{vertical-align:baseline;position:relative;top:-.4em;line-height:0;font-size:90%}.rst-content .citation-reference>span.fn-bracket,.rst-content .footnote-reference>span.fn-bracket{display:none}.rst-content .hlist{width:100%}.rst-content dl dt span.classifier:before{content:" : "}.rst-content dl dt span.classifier-delimiter{display:none!important}html.writer-html4 .rst-content table.docutils.citation,html.writer-html4 .rst-content table.docutils.footnote{background:none;border:none}html.writer-html4 .rst-content table.docutils.citation td,html.writer-html4 .rst-content table.docutils.citation tr,html.writer-html4 .rst-content table.docutils.footnote td,html.writer-html4 .rst-content table.docutils.footnote tr{border:none;background-color:transparent!important;white-space:normal}html.writer-html4 .rst-content table.docutils.citation td.label,html.writer-html4 .rst-content table.docutils.footnote td.label{padding-left:0;padding-right:0;vertical-align:top}html.writer-html5 .rst-content dl.citation,html.writer-html5 .rst-content dl.field-list,html.writer-html5 .rst-content dl.footnote{display:grid;grid-template-columns:auto minmax(80%,95%)}html.writer-html5 .rst-content dl.citation>dt,html.writer-html5 .rst-content dl.field-list>dt,html.writer-html5 .rst-content dl.footnote>dt{display:inline-grid;grid-template-columns:max-content auto}html.writer-html5 .rst-content aside.citation,html.writer-html5 .rst-content aside.footnote,html.writer-html5 .rst-content div.citation{display:grid;grid-template-columns:auto auto minmax(.65rem,auto) minmax(40%,95%)}html.writer-html5 .rst-content aside.citation>span.label,html.writer-html5 .rst-content aside.footnote>span.label,html.writer-html5 .rst-content div.citation>span.label{grid-column-start:1;grid-column-end:2}html.writer-html5 .rst-content aside.citation>span.backrefs,html.writer-html5 .rst-content aside.footnote>span.backrefs,html.writer-html5 .rst-content div.citation>span.backrefs{grid-column-start:2;grid-column-end:3;grid-row-start:1;grid-row-end:3}html.writer-html5 .rst-content aside.citation>p,html.writer-html5 .rst-content aside.footnote>p,html.writer-html5 .rst-content div.citation>p{grid-column-start:4;grid-column-end:5}html.writer-html5 .rst-content dl.citation,html.writer-html5 .rst-content dl.field-list,html.writer-html5 .rst-content dl.footnote{margin-bottom:24px}html.writer-html5 .rst-content dl.citation>dt,html.writer-html5 .rst-content dl.field-list>dt,html.writer-html5 .rst-content dl.footnote>dt{padding-left:1rem}html.writer-html5 .rst-content dl.citation>dd,html.writer-html5 .rst-content dl.citation>dt,html.writer-html5 .rst-content dl.field-list>dd,html.writer-html5 .rst-content dl.field-list>dt,html.writer-html5 .rst-content dl.footnote>dd,html.writer-html5 .rst-content dl.footnote>dt{margin-bottom:0}html.writer-html5 .rst-content dl.citation,html.writer-html5 .rst-content dl.footnote{font-size:.9rem}html.writer-html5 .rst-content dl.citation>dt,html.writer-html5 .rst-content dl.footnote>dt{margin:0 .5rem .5rem 0;line-height:1.2rem;word-break:break-all;font-weight:400}html.writer-html5 .rst-content dl.citation>dt>span.brackets:before,html.writer-html5 .rst-content dl.footnote>dt>span.brackets:before{content:"["}html.writer-html5 .rst-content dl.citation>dt>span.brackets:after,html.writer-html5 .rst-content dl.footnote>dt>span.brackets:after{content:"]"}html.writer-html5 .rst-content dl.citation>dt>span.fn-backref,html.writer-html5 .rst-content dl.footnote>dt>span.fn-backref{text-align:left;font-style:italic;margin-left:.65rem;word-break:break-word;word-spacing:-.1rem;max-width:5rem}html.writer-html5 .rst-content dl.citation>dt>span.fn-backref>a,html.writer-html5 .rst-content dl.footnote>dt>span.fn-backref>a{word-break:keep-all}html.writer-html5 .rst-content dl.citation>dt>span.fn-backref>a:not(:first-child):before,html.writer-html5 .rst-content dl.footnote>dt>span.fn-backref>a:not(:first-child):before{content:" "}html.writer-html5 .rst-content dl.citation>dd,html.writer-html5 .rst-content dl.footnote>dd{margin:0 0 .5rem;line-height:1.2rem}html.writer-html5 .rst-content dl.citation>dd p,html.writer-html5 .rst-content dl.footnote>dd p{font-size:.9rem}html.writer-html5 .rst-content aside.citation,html.writer-html5 .rst-content aside.footnote,html.writer-html5 .rst-content div.citation{padding-left:1rem;padding-right:1rem;font-size:.9rem;line-height:1.2rem}html.writer-html5 .rst-content aside.citation p,html.writer-html5 .rst-content aside.footnote p,html.writer-html5 .rst-content div.citation p{font-size:.9rem;line-height:1.2rem;margin-bottom:12px}html.writer-html5 .rst-content aside.citation span.backrefs,html.writer-html5 .rst-content aside.footnote span.backrefs,html.writer-html5 .rst-content div.citation span.backrefs{text-align:left;font-style:italic;margin-left:.65rem;word-break:break-word;word-spacing:-.1rem;max-width:5rem}html.writer-html5 .rst-content aside.citation span.backrefs>a,html.writer-html5 .rst-content aside.footnote span.backrefs>a,html.writer-html5 .rst-content div.citation span.backrefs>a{word-break:keep-all}html.writer-html5 .rst-content aside.citation span.backrefs>a:not(:first-child):before,html.writer-html5 .rst-content aside.footnote span.backrefs>a:not(:first-child):before,html.writer-html5 .rst-content div.citation span.backrefs>a:not(:first-child):before{content:" "}html.writer-html5 .rst-content aside.citation span.label,html.writer-html5 .rst-content aside.footnote span.label,html.writer-html5 .rst-content div.citation span.label{line-height:1.2rem}html.writer-html5 .rst-content aside.citation-list,html.writer-html5 .rst-content aside.footnote-list,html.writer-html5 .rst-content div.citation-list{margin-bottom:24px}html.writer-html5 .rst-content dl.option-list kbd{font-size:.9rem}.rst-content table.docutils.footnote,html.writer-html4 .rst-content table.docutils.citation,html.writer-html5 .rst-content aside.footnote,html.writer-html5 .rst-content aside.footnote-list aside.footnote,html.writer-html5 .rst-content div.citation-list>div.citation,html.writer-html5 .rst-content dl.citation,html.writer-html5 .rst-content dl.footnote{color:grey}.rst-content table.docutils.footnote code,.rst-content table.docutils.footnote tt,html.writer-html4 .rst-content table.docutils.citation code,html.writer-html4 .rst-content table.docutils.citation tt,html.writer-html5 .rst-content aside.footnote-list aside.footnote code,html.writer-html5 .rst-content aside.footnote-list aside.footnote tt,html.writer-html5 .rst-content aside.footnote code,html.writer-html5 .rst-content aside.footnote tt,html.writer-html5 .rst-content div.citation-list>div.citation code,html.writer-html5 .rst-content div.citation-list>div.citation tt,html.writer-html5 .rst-content dl.citation code,html.writer-html5 .rst-content dl.citation tt,html.writer-html5 .rst-content dl.footnote code,html.writer-html5 .rst-content dl.footnote tt{color:#555}.rst-content .wy-table-responsive.citation,.rst-content .wy-table-responsive.footnote{margin-bottom:0}.rst-content .wy-table-responsive.citation+:not(.citation),.rst-content .wy-table-responsive.footnote+:not(.footnote){margin-top:24px}.rst-content .wy-table-responsive.citation:last-child,.rst-content .wy-table-responsive.footnote:last-child{margin-bottom:24px}.rst-content table.docutils th{border-color:#e1e4e5}html.writer-html5 .rst-content table.docutils th{border:1px solid #e1e4e5}html.writer-html5 .rst-content table.docutils td>p,html.writer-html5 .rst-content table.docutils th>p{line-height:1rem;margin-bottom:0;font-size:.9rem}.rst-content table.docutils td .last,.rst-content table.docutils td .last>:last-child{margin-bottom:0}.rst-content table.field-list,.rst-content table.field-list td{border:none}.rst-content table.field-list td p{line-height:inherit}.rst-content table.field-list td>strong{display:inline-block}.rst-content table.field-list .field-name{padding-right:10px;text-align:left;white-space:nowrap}.rst-content table.field-list .field-body{text-align:left}.rst-content code,.rst-content tt{color:#000;font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,Courier,monospace;padding:2px 5px}.rst-content code big,.rst-content code em,.rst-content tt big,.rst-content tt em{font-size:100%!important;line-height:normal}.rst-content code.literal,.rst-content tt.literal{color:#e74c3c;white-space:normal}.rst-content code.xref,.rst-content tt.xref,a .rst-content code,a .rst-content tt{font-weight:700;color:#404040;overflow-wrap:normal}.rst-content kbd,.rst-content pre,.rst-content samp{font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,Courier,monospace}.rst-content a code,.rst-content a tt{color:#2980b9}.rst-content dl{margin-bottom:24px}.rst-content dl dt{font-weight:700;margin-bottom:12px}.rst-content dl ol,.rst-content dl p,.rst-content dl table,.rst-content dl ul{margin-bottom:12px}.rst-content dl dd{margin:0 0 12px 24px;line-height:24px}.rst-content dl dd>ol:last-child,.rst-content dl dd>p:last-child,.rst-content dl dd>table:last-child,.rst-content dl dd>ul:last-child{margin-bottom:0}html.writer-html4 .rst-content dl:not(.docutils),html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple){margin-bottom:24px}html.writer-html4 .rst-content dl:not(.docutils)>dt,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple)>dt{display:table;margin:6px 0;font-size:90%;line-height:normal;background:#e7f2fa;color:#2980b9;border-top:3px solid #6ab0de;padding:6px;position:relative}html.writer-html4 .rst-content dl:not(.docutils)>dt:before,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple)>dt:before{color:#6ab0de}html.writer-html4 .rst-content dl:not(.docutils)>dt .headerlink,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple)>dt .headerlink{color:#404040;font-size:100%!important}html.writer-html4 .rst-content dl:not(.docutils) dl:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple)>dt,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) dl:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple)>dt{margin-bottom:6px;border:none;border-left:3px solid #ccc;background:#f0f0f0;color:#555}html.writer-html4 .rst-content dl:not(.docutils) dl:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple)>dt .headerlink,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) dl:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple)>dt .headerlink{color:#404040;font-size:100%!important}html.writer-html4 .rst-content dl:not(.docutils)>dt:first-child,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple)>dt:first-child{margin-top:0}html.writer-html4 .rst-content dl:not(.docutils) code.descclassname,html.writer-html4 .rst-content dl:not(.docutils) code.descname,html.writer-html4 .rst-content dl:not(.docutils) tt.descclassname,html.writer-html4 .rst-content dl:not(.docutils) tt.descname,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) code.descclassname,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) code.descname,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) tt.descclassname,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) tt.descname{background-color:transparent;border:none;padding:0;font-size:100%!important}html.writer-html4 .rst-content dl:not(.docutils) code.descname,html.writer-html4 .rst-content dl:not(.docutils) tt.descname,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) code.descname,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) tt.descname{font-weight:700}html.writer-html4 .rst-content dl:not(.docutils) .optional,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) .optional{display:inline-block;padding:0 4px;color:#000;font-weight:700}html.writer-html4 .rst-content dl:not(.docutils) .property,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) .property{display:inline-block;padding-right:8px;max-width:100%}html.writer-html4 .rst-content dl:not(.docutils) .k,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) .k{font-style:italic}html.writer-html4 .rst-content dl:not(.docutils) .descclassname,html.writer-html4 .rst-content dl:not(.docutils) .descname,html.writer-html4 .rst-content dl:not(.docutils) .sig-name,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) .descclassname,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) .descname,html.writer-html5 .rst-content dl[class]:not(.option-list):not(.field-list):not(.footnote):not(.citation):not(.glossary):not(.simple) .sig-name{font-family:SFMono-Regular,Menlo,Monaco,Consolas,Liberation Mono,Courier New,Courier,monospace;color:#000}.rst-content .viewcode-back,.rst-content .viewcode-link{display:inline-block;color:#27ae60;font-size:80%;padding-left:24px}.rst-content .viewcode-back{display:block;float:right}.rst-content p.rubric{margin-bottom:12px;font-weight:700}.rst-content code.download,.rst-content tt.download{background:inherit;padding:inherit;font-weight:400;font-family:inherit;font-size:inherit;color:inherit;border:inherit;white-space:inherit}.rst-content code.download span:first-child,.rst-content tt.download span:first-child{-webkit-font-smoothing:subpixel-antialiased}.rst-content code.download span:first-child:before,.rst-content tt.download span:first-child:before{margin-right:4px}.rst-content .guilabel,.rst-content .menuselection{font-size:80%;font-weight:700;border-radius:4px;padding:2.4px 6px;margin:auto 2px}.rst-content .guilabel,.rst-content .menuselection{border:1px solid #7fbbe3;background:#e7f2fa}.rst-content :not(dl.option-list)>:not(dt):not(kbd):not(.kbd)>.kbd,.rst-content :not(dl.option-list)>:not(dt):not(kbd):not(.kbd)>kbd{color:inherit;font-size:80%;background-color:#fff;border:1px solid #a6a6a6;border-radius:4px;box-shadow:0 2px grey;padding:2.4px 6px;margin:auto 0}.rst-content .versionmodified{font-style:italic}@media screen and (max-width:480px){.rst-content .sidebar{width:100%}}span[id*=MathJax-Span]{color:#404040}.math{text-align:center}@font-face{font-family:Lato;src:url(fonts/lato-normal.woff2?bd03a2cc277bbbc338d464e679fe9942) format("woff2"),url(fonts/lato-normal.woff?27bd77b9162d388cb8d4c4217c7c5e2a) format("woff");font-weight:400;font-style:normal;font-display:block}@font-face{font-family:Lato;src:url(fonts/lato-bold.woff2?cccb897485813c7c256901dbca54ecf2) format("woff2"),url(fonts/lato-bold.woff?d878b6c29b10beca227e9eef4246111b) format("woff");font-weight:700;font-style:normal;font-display:block}@font-face{font-family:Lato;src:url(fonts/lato-bold-italic.woff2?0b6bb6725576b072c5d0b02ecdd1900d) format("woff2"),url(fonts/lato-bold-italic.woff?9c7e4e9eb485b4a121c760e61bc3707c) format("woff");font-weight:700;font-style:italic;font-display:block}@font-face{font-family:Lato;src:url(fonts/lato-normal-italic.woff2?4eb103b4d12be57cb1d040ed5e162e9d) format("woff2"),url(fonts/lato-normal-italic.woff?f28f2d6482446544ef1ea1ccc6dd5892) format("woff");font-weight:400;font-style:italic;font-display:block}@font-face{font-family:Roboto Slab;font-style:normal;font-weight:400;src:url(fonts/Roboto-Slab-Regular.woff2?7abf5b8d04d26a2cafea937019bca958) format("woff2"),url(fonts/Roboto-Slab-Regular.woff?c1be9284088d487c5e3ff0a10a92e58c) format("woff");font-display:block}@font-face{font-family:Roboto Slab;font-style:normal;font-weight:700;src:url(fonts/Roboto-Slab-Bold.woff2?9984f4a9bda09be08e83f2506954adbe) format("woff2"),url(fonts/Roboto-Slab-Bold.woff?bed5564a116b05148e3b3bea6fb1162a) format("woff");font-display:block} \ No newline at end of file diff --git a/_static/design-tabs.js b/_static/design-tabs.js new file mode 100644 index 000000000..b25bd6a4f --- /dev/null +++ b/_static/design-tabs.js @@ -0,0 +1,101 @@ +// @ts-check + +// Extra JS capability for selected tabs to be synced +// The selection is stored in local storage so that it persists across page loads. + +/** + * @type {Record} + */ +let sd_id_to_elements = {}; +const storageKeyPrefix = "sphinx-design-tab-id-"; + +/** + * Create a key for a tab element. + * @param {HTMLElement} el - The tab element. + * @returns {[string, string, string] | null} - The key. + * + */ +function create_key(el) { + let syncId = el.getAttribute("data-sync-id"); + let syncGroup = el.getAttribute("data-sync-group"); + if (!syncId || !syncGroup) return null; + return [syncGroup, syncId, syncGroup + "--" + syncId]; +} + +/** + * Initialize the tab selection. + * + */ +function ready() { + // Find all tabs with sync data + + /** @type {string[]} */ + let groups = []; + + document.querySelectorAll(".sd-tab-label").forEach((label) => { + if (label instanceof HTMLElement) { + let data = create_key(label); + if (data) { + let [group, id, key] = data; + + // add click event listener + // @ts-ignore + label.onclick = onSDLabelClick; + + // store map of key to elements + if (!sd_id_to_elements[key]) { + sd_id_to_elements[key] = []; + } + sd_id_to_elements[key].push(label); + + if (groups.indexOf(group) === -1) { + groups.push(group); + // Check if a specific tab has been selected via URL parameter + const tabParam = new URLSearchParams(window.location.search).get( + group + ); + if (tabParam) { + console.log( + "sphinx-design: Selecting tab id for group '" + + group + + "' from URL parameter: " + + tabParam + ); + window.sessionStorage.setItem(storageKeyPrefix + group, tabParam); + } + } + + // Check is a specific tab has been selected previously + let previousId = window.sessionStorage.getItem( + storageKeyPrefix + group + ); + if (previousId === id) { + // console.log( + // "sphinx-design: Selecting tab from session storage: " + id + // ); + // @ts-ignore + label.previousElementSibling.checked = true; + } + } + } + }); +} + +/** + * Activate other tabs with the same sync id. + * + * @this {HTMLElement} - The element that was clicked. + */ +function onSDLabelClick() { + let data = create_key(this); + if (!data) return; + let [group, id, key] = data; + for (const label of sd_id_to_elements[key]) { + if (label === this) continue; + // @ts-ignore + label.previousElementSibling.checked = true; + } + window.sessionStorage.setItem(storageKeyPrefix + group, id); +} + +document.addEventListener("DOMContentLoaded", ready, false); diff --git a/_static/doctools.js b/_static/doctools.js new file mode 100644 index 000000000..4d67807d1 --- /dev/null +++ b/_static/doctools.js @@ -0,0 +1,156 @@ +/* + * doctools.js + * ~~~~~~~~~~~ + * + * Base JavaScript utilities for all Sphinx HTML documentation. + * + * :copyright: Copyright 2007-2024 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ +"use strict"; + +const BLACKLISTED_KEY_CONTROL_ELEMENTS = new Set([ + "TEXTAREA", + "INPUT", + "SELECT", + "BUTTON", +]); + +const _ready = (callback) => { + if (document.readyState !== "loading") { + callback(); + } else { + document.addEventListener("DOMContentLoaded", callback); + } +}; + +/** + * Small JavaScript module for the documentation. + */ +const Documentation = { + init: () => { + Documentation.initDomainIndexTable(); + Documentation.initOnKeyListeners(); + }, + + /** + * i18n support + */ + TRANSLATIONS: {}, + PLURAL_EXPR: (n) => (n === 1 ? 0 : 1), + LOCALE: "unknown", + + // gettext and ngettext don't access this so that the functions + // can safely bound to a different name (_ = Documentation.gettext) + gettext: (string) => { + const translated = Documentation.TRANSLATIONS[string]; + switch (typeof translated) { + case "undefined": + return string; // no translation + case "string": + return translated; // translation exists + default: + return translated[0]; // (singular, plural) translation tuple exists + } + }, + + ngettext: (singular, plural, n) => { + const translated = Documentation.TRANSLATIONS[singular]; + if (typeof translated !== "undefined") + return translated[Documentation.PLURAL_EXPR(n)]; + return n === 1 ? singular : plural; + }, + + addTranslations: (catalog) => { + Object.assign(Documentation.TRANSLATIONS, catalog.messages); + Documentation.PLURAL_EXPR = new Function( + "n", + `return (${catalog.plural_expr})` + ); + Documentation.LOCALE = catalog.locale; + }, + + /** + * helper function to focus on search bar + */ + focusSearchBar: () => { + document.querySelectorAll("input[name=q]")[0]?.focus(); + }, + + /** + * Initialise the domain index toggle buttons + */ + initDomainIndexTable: () => { + const toggler = (el) => { + const idNumber = el.id.substr(7); + const toggledRows = document.querySelectorAll(`tr.cg-${idNumber}`); + if (el.src.substr(-9) === "minus.png") { + el.src = `${el.src.substr(0, el.src.length - 9)}plus.png`; + toggledRows.forEach((el) => (el.style.display = "none")); + } else { + el.src = `${el.src.substr(0, el.src.length - 8)}minus.png`; + toggledRows.forEach((el) => (el.style.display = "")); + } + }; + + const togglerElements = document.querySelectorAll("img.toggler"); + togglerElements.forEach((el) => + el.addEventListener("click", (event) => toggler(event.currentTarget)) + ); + togglerElements.forEach((el) => (el.style.display = "")); + if (DOCUMENTATION_OPTIONS.COLLAPSE_INDEX) togglerElements.forEach(toggler); + }, + + initOnKeyListeners: () => { + // only install a listener if it is really needed + if ( + !DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS && + !DOCUMENTATION_OPTIONS.ENABLE_SEARCH_SHORTCUTS + ) + return; + + document.addEventListener("keydown", (event) => { + // bail for input elements + if (BLACKLISTED_KEY_CONTROL_ELEMENTS.has(document.activeElement.tagName)) return; + // bail with special keys + if (event.altKey || event.ctrlKey || event.metaKey) return; + + if (!event.shiftKey) { + switch (event.key) { + case "ArrowLeft": + if (!DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS) break; + + const prevLink = document.querySelector('link[rel="prev"]'); + if (prevLink && prevLink.href) { + window.location.href = prevLink.href; + event.preventDefault(); + } + break; + case "ArrowRight": + if (!DOCUMENTATION_OPTIONS.NAVIGATION_WITH_KEYS) break; + + const nextLink = document.querySelector('link[rel="next"]'); + if (nextLink && nextLink.href) { + window.location.href = nextLink.href; + event.preventDefault(); + } + break; + } + } + + // some keyboard layouts may need Shift to get / + switch (event.key) { + case "/": + if (!DOCUMENTATION_OPTIONS.ENABLE_SEARCH_SHORTCUTS) break; + Documentation.focusSearchBar(); + event.preventDefault(); + } + }); + }, +}; + +// quick alias for translations +const _ = Documentation.gettext; + +_ready(Documentation.init); diff --git a/_static/documentation_options.js b/_static/documentation_options.js new file mode 100644 index 000000000..2dc970d0a --- /dev/null +++ b/_static/documentation_options.js @@ -0,0 +1,13 @@ +const DOCUMENTATION_OPTIONS = { + VERSION: '0.29.0', + LANGUAGE: 'en', + COLLAPSE_INDEX: false, + BUILDER: 'html', + FILE_SUFFIX: '.html', + LINK_SUFFIX: '.html', + HAS_SOURCE: true, + SOURCELINK_SUFFIX: '.txt', + NAVIGATION_WITH_KEYS: false, + SHOW_SEARCH_SUMMARY: true, + ENABLE_SEARCH_SHORTCUTS: true, +}; \ No newline at end of file diff --git a/_static/favicon.svg b/_static/favicon.svg new file mode 100644 index 000000000..30f30d25a --- /dev/null +++ b/_static/favicon.svg @@ -0,0 +1,65 @@ + + + + + + + + + + + diff --git a/_static/file.png b/_static/file.png new file mode 100644 index 000000000..a858a410e Binary files /dev/null and b/_static/file.png differ diff --git a/_static/graphviz.css b/_static/graphviz.css new file mode 100644 index 000000000..027576e34 --- /dev/null +++ b/_static/graphviz.css @@ -0,0 +1,19 @@ +/* + * graphviz.css + * ~~~~~~~~~~~~ + * + * Sphinx stylesheet -- graphviz extension. + * + * :copyright: Copyright 2007-2024 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ + +img.graphviz { + border: 0; + max-width: 100%; +} + +object.graphviz { + max-width: 100%; +} diff --git a/_static/jquery.js b/_static/jquery.js new file mode 100644 index 000000000..c4c6022f2 --- /dev/null +++ b/_static/jquery.js @@ -0,0 +1,2 @@ +/*! jQuery v3.6.0 | (c) OpenJS Foundation and other contributors | jquery.org/license */ +!function(e,t){"use strict";"object"==typeof module&&"object"==typeof module.exports?module.exports=e.document?t(e,!0):function(e){if(!e.document)throw new Error("jQuery requires a window with a document");return t(e)}:t(e)}("undefined"!=typeof window?window:this,function(C,e){"use strict";var t=[],r=Object.getPrototypeOf,s=t.slice,g=t.flat?function(e){return t.flat.call(e)}:function(e){return t.concat.apply([],e)},u=t.push,i=t.indexOf,n={},o=n.toString,v=n.hasOwnProperty,a=v.toString,l=a.call(Object),y={},m=function(e){return"function"==typeof e&&"number"!=typeof e.nodeType&&"function"!=typeof e.item},x=function(e){return null!=e&&e===e.window},E=C.document,c={type:!0,src:!0,nonce:!0,noModule:!0};function b(e,t,n){var r,i,o=(n=n||E).createElement("script");if(o.text=e,t)for(r in c)(i=t[r]||t.getAttribute&&t.getAttribute(r))&&o.setAttribute(r,i);n.head.appendChild(o).parentNode.removeChild(o)}function w(e){return null==e?e+"":"object"==typeof e||"function"==typeof e?n[o.call(e)]||"object":typeof e}var f="3.6.0",S=function(e,t){return new S.fn.init(e,t)};function p(e){var t=!!e&&"length"in e&&e.length,n=w(e);return!m(e)&&!x(e)&&("array"===n||0===t||"number"==typeof t&&0+~]|"+M+")"+M+"*"),U=new RegExp(M+"|>"),X=new RegExp(F),V=new RegExp("^"+I+"$"),G={ID:new RegExp("^#("+I+")"),CLASS:new RegExp("^\\.("+I+")"),TAG:new RegExp("^("+I+"|[*])"),ATTR:new RegExp("^"+W),PSEUDO:new RegExp("^"+F),CHILD:new RegExp("^:(only|first|last|nth|nth-last)-(child|of-type)(?:\\("+M+"*(even|odd|(([+-]|)(\\d*)n|)"+M+"*(?:([+-]|)"+M+"*(\\d+)|))"+M+"*\\)|)","i"),bool:new RegExp("^(?:"+R+")$","i"),needsContext:new RegExp("^"+M+"*[>+~]|:(even|odd|eq|gt|lt|nth|first|last)(?:\\("+M+"*((?:-\\d)?\\d*)"+M+"*\\)|)(?=[^-]|$)","i")},Y=/HTML$/i,Q=/^(?:input|select|textarea|button)$/i,J=/^h\d$/i,K=/^[^{]+\{\s*\[native \w/,Z=/^(?:#([\w-]+)|(\w+)|\.([\w-]+))$/,ee=/[+~]/,te=new RegExp("\\\\[\\da-fA-F]{1,6}"+M+"?|\\\\([^\\r\\n\\f])","g"),ne=function(e,t){var n="0x"+e.slice(1)-65536;return t||(n<0?String.fromCharCode(n+65536):String.fromCharCode(n>>10|55296,1023&n|56320))},re=/([\0-\x1f\x7f]|^-?\d)|^-$|[^\0-\x1f\x7f-\uFFFF\w-]/g,ie=function(e,t){return t?"\0"===e?"\ufffd":e.slice(0,-1)+"\\"+e.charCodeAt(e.length-1).toString(16)+" ":"\\"+e},oe=function(){T()},ae=be(function(e){return!0===e.disabled&&"fieldset"===e.nodeName.toLowerCase()},{dir:"parentNode",next:"legend"});try{H.apply(t=O.call(p.childNodes),p.childNodes),t[p.childNodes.length].nodeType}catch(e){H={apply:t.length?function(e,t){L.apply(e,O.call(t))}:function(e,t){var n=e.length,r=0;while(e[n++]=t[r++]);e.length=n-1}}}function se(t,e,n,r){var i,o,a,s,u,l,c,f=e&&e.ownerDocument,p=e?e.nodeType:9;if(n=n||[],"string"!=typeof t||!t||1!==p&&9!==p&&11!==p)return n;if(!r&&(T(e),e=e||C,E)){if(11!==p&&(u=Z.exec(t)))if(i=u[1]){if(9===p){if(!(a=e.getElementById(i)))return n;if(a.id===i)return n.push(a),n}else if(f&&(a=f.getElementById(i))&&y(e,a)&&a.id===i)return n.push(a),n}else{if(u[2])return H.apply(n,e.getElementsByTagName(t)),n;if((i=u[3])&&d.getElementsByClassName&&e.getElementsByClassName)return H.apply(n,e.getElementsByClassName(i)),n}if(d.qsa&&!N[t+" "]&&(!v||!v.test(t))&&(1!==p||"object"!==e.nodeName.toLowerCase())){if(c=t,f=e,1===p&&(U.test(t)||z.test(t))){(f=ee.test(t)&&ye(e.parentNode)||e)===e&&d.scope||((s=e.getAttribute("id"))?s=s.replace(re,ie):e.setAttribute("id",s=S)),o=(l=h(t)).length;while(o--)l[o]=(s?"#"+s:":scope")+" "+xe(l[o]);c=l.join(",")}try{return H.apply(n,f.querySelectorAll(c)),n}catch(e){N(t,!0)}finally{s===S&&e.removeAttribute("id")}}}return g(t.replace($,"$1"),e,n,r)}function ue(){var r=[];return function e(t,n){return r.push(t+" ")>b.cacheLength&&delete e[r.shift()],e[t+" "]=n}}function le(e){return e[S]=!0,e}function ce(e){var t=C.createElement("fieldset");try{return!!e(t)}catch(e){return!1}finally{t.parentNode&&t.parentNode.removeChild(t),t=null}}function fe(e,t){var n=e.split("|"),r=n.length;while(r--)b.attrHandle[n[r]]=t}function pe(e,t){var n=t&&e,r=n&&1===e.nodeType&&1===t.nodeType&&e.sourceIndex-t.sourceIndex;if(r)return r;if(n)while(n=n.nextSibling)if(n===t)return-1;return e?1:-1}function de(t){return function(e){return"input"===e.nodeName.toLowerCase()&&e.type===t}}function he(n){return function(e){var t=e.nodeName.toLowerCase();return("input"===t||"button"===t)&&e.type===n}}function ge(t){return function(e){return"form"in e?e.parentNode&&!1===e.disabled?"label"in e?"label"in e.parentNode?e.parentNode.disabled===t:e.disabled===t:e.isDisabled===t||e.isDisabled!==!t&&ae(e)===t:e.disabled===t:"label"in e&&e.disabled===t}}function ve(a){return le(function(o){return o=+o,le(function(e,t){var n,r=a([],e.length,o),i=r.length;while(i--)e[n=r[i]]&&(e[n]=!(t[n]=e[n]))})})}function ye(e){return e&&"undefined"!=typeof e.getElementsByTagName&&e}for(e in d=se.support={},i=se.isXML=function(e){var t=e&&e.namespaceURI,n=e&&(e.ownerDocument||e).documentElement;return!Y.test(t||n&&n.nodeName||"HTML")},T=se.setDocument=function(e){var t,n,r=e?e.ownerDocument||e:p;return r!=C&&9===r.nodeType&&r.documentElement&&(a=(C=r).documentElement,E=!i(C),p!=C&&(n=C.defaultView)&&n.top!==n&&(n.addEventListener?n.addEventListener("unload",oe,!1):n.attachEvent&&n.attachEvent("onunload",oe)),d.scope=ce(function(e){return a.appendChild(e).appendChild(C.createElement("div")),"undefined"!=typeof e.querySelectorAll&&!e.querySelectorAll(":scope fieldset div").length}),d.attributes=ce(function(e){return e.className="i",!e.getAttribute("className")}),d.getElementsByTagName=ce(function(e){return e.appendChild(C.createComment("")),!e.getElementsByTagName("*").length}),d.getElementsByClassName=K.test(C.getElementsByClassName),d.getById=ce(function(e){return a.appendChild(e).id=S,!C.getElementsByName||!C.getElementsByName(S).length}),d.getById?(b.filter.ID=function(e){var t=e.replace(te,ne);return function(e){return e.getAttribute("id")===t}},b.find.ID=function(e,t){if("undefined"!=typeof t.getElementById&&E){var n=t.getElementById(e);return n?[n]:[]}}):(b.filter.ID=function(e){var n=e.replace(te,ne);return function(e){var t="undefined"!=typeof e.getAttributeNode&&e.getAttributeNode("id");return t&&t.value===n}},b.find.ID=function(e,t){if("undefined"!=typeof t.getElementById&&E){var n,r,i,o=t.getElementById(e);if(o){if((n=o.getAttributeNode("id"))&&n.value===e)return[o];i=t.getElementsByName(e),r=0;while(o=i[r++])if((n=o.getAttributeNode("id"))&&n.value===e)return[o]}return[]}}),b.find.TAG=d.getElementsByTagName?function(e,t){return"undefined"!=typeof t.getElementsByTagName?t.getElementsByTagName(e):d.qsa?t.querySelectorAll(e):void 0}:function(e,t){var n,r=[],i=0,o=t.getElementsByTagName(e);if("*"===e){while(n=o[i++])1===n.nodeType&&r.push(n);return r}return o},b.find.CLASS=d.getElementsByClassName&&function(e,t){if("undefined"!=typeof t.getElementsByClassName&&E)return t.getElementsByClassName(e)},s=[],v=[],(d.qsa=K.test(C.querySelectorAll))&&(ce(function(e){var t;a.appendChild(e).innerHTML="",e.querySelectorAll("[msallowcapture^='']").length&&v.push("[*^$]="+M+"*(?:''|\"\")"),e.querySelectorAll("[selected]").length||v.push("\\["+M+"*(?:value|"+R+")"),e.querySelectorAll("[id~="+S+"-]").length||v.push("~="),(t=C.createElement("input")).setAttribute("name",""),e.appendChild(t),e.querySelectorAll("[name='']").length||v.push("\\["+M+"*name"+M+"*="+M+"*(?:''|\"\")"),e.querySelectorAll(":checked").length||v.push(":checked"),e.querySelectorAll("a#"+S+"+*").length||v.push(".#.+[+~]"),e.querySelectorAll("\\\f"),v.push("[\\r\\n\\f]")}),ce(function(e){e.innerHTML="";var t=C.createElement("input");t.setAttribute("type","hidden"),e.appendChild(t).setAttribute("name","D"),e.querySelectorAll("[name=d]").length&&v.push("name"+M+"*[*^$|!~]?="),2!==e.querySelectorAll(":enabled").length&&v.push(":enabled",":disabled"),a.appendChild(e).disabled=!0,2!==e.querySelectorAll(":disabled").length&&v.push(":enabled",":disabled"),e.querySelectorAll("*,:x"),v.push(",.*:")})),(d.matchesSelector=K.test(c=a.matches||a.webkitMatchesSelector||a.mozMatchesSelector||a.oMatchesSelector||a.msMatchesSelector))&&ce(function(e){d.disconnectedMatch=c.call(e,"*"),c.call(e,"[s!='']:x"),s.push("!=",F)}),v=v.length&&new RegExp(v.join("|")),s=s.length&&new RegExp(s.join("|")),t=K.test(a.compareDocumentPosition),y=t||K.test(a.contains)?function(e,t){var n=9===e.nodeType?e.documentElement:e,r=t&&t.parentNode;return e===r||!(!r||1!==r.nodeType||!(n.contains?n.contains(r):e.compareDocumentPosition&&16&e.compareDocumentPosition(r)))}:function(e,t){if(t)while(t=t.parentNode)if(t===e)return!0;return!1},j=t?function(e,t){if(e===t)return l=!0,0;var n=!e.compareDocumentPosition-!t.compareDocumentPosition;return n||(1&(n=(e.ownerDocument||e)==(t.ownerDocument||t)?e.compareDocumentPosition(t):1)||!d.sortDetached&&t.compareDocumentPosition(e)===n?e==C||e.ownerDocument==p&&y(p,e)?-1:t==C||t.ownerDocument==p&&y(p,t)?1:u?P(u,e)-P(u,t):0:4&n?-1:1)}:function(e,t){if(e===t)return l=!0,0;var n,r=0,i=e.parentNode,o=t.parentNode,a=[e],s=[t];if(!i||!o)return e==C?-1:t==C?1:i?-1:o?1:u?P(u,e)-P(u,t):0;if(i===o)return pe(e,t);n=e;while(n=n.parentNode)a.unshift(n);n=t;while(n=n.parentNode)s.unshift(n);while(a[r]===s[r])r++;return r?pe(a[r],s[r]):a[r]==p?-1:s[r]==p?1:0}),C},se.matches=function(e,t){return se(e,null,null,t)},se.matchesSelector=function(e,t){if(T(e),d.matchesSelector&&E&&!N[t+" "]&&(!s||!s.test(t))&&(!v||!v.test(t)))try{var n=c.call(e,t);if(n||d.disconnectedMatch||e.document&&11!==e.document.nodeType)return n}catch(e){N(t,!0)}return 0":{dir:"parentNode",first:!0}," ":{dir:"parentNode"},"+":{dir:"previousSibling",first:!0},"~":{dir:"previousSibling"}},preFilter:{ATTR:function(e){return e[1]=e[1].replace(te,ne),e[3]=(e[3]||e[4]||e[5]||"").replace(te,ne),"~="===e[2]&&(e[3]=" "+e[3]+" "),e.slice(0,4)},CHILD:function(e){return e[1]=e[1].toLowerCase(),"nth"===e[1].slice(0,3)?(e[3]||se.error(e[0]),e[4]=+(e[4]?e[5]+(e[6]||1):2*("even"===e[3]||"odd"===e[3])),e[5]=+(e[7]+e[8]||"odd"===e[3])):e[3]&&se.error(e[0]),e},PSEUDO:function(e){var t,n=!e[6]&&e[2];return G.CHILD.test(e[0])?null:(e[3]?e[2]=e[4]||e[5]||"":n&&X.test(n)&&(t=h(n,!0))&&(t=n.indexOf(")",n.length-t)-n.length)&&(e[0]=e[0].slice(0,t),e[2]=n.slice(0,t)),e.slice(0,3))}},filter:{TAG:function(e){var t=e.replace(te,ne).toLowerCase();return"*"===e?function(){return!0}:function(e){return e.nodeName&&e.nodeName.toLowerCase()===t}},CLASS:function(e){var t=m[e+" "];return t||(t=new RegExp("(^|"+M+")"+e+"("+M+"|$)"))&&m(e,function(e){return t.test("string"==typeof e.className&&e.className||"undefined"!=typeof e.getAttribute&&e.getAttribute("class")||"")})},ATTR:function(n,r,i){return function(e){var t=se.attr(e,n);return null==t?"!="===r:!r||(t+="","="===r?t===i:"!="===r?t!==i:"^="===r?i&&0===t.indexOf(i):"*="===r?i&&-1:\x20\t\r\n\f]*)[\x20\t\r\n\f]*\/?>(?:<\/\1>|)$/i;function j(e,n,r){return m(n)?S.grep(e,function(e,t){return!!n.call(e,t,e)!==r}):n.nodeType?S.grep(e,function(e){return e===n!==r}):"string"!=typeof n?S.grep(e,function(e){return-1)[^>]*|#([\w-]+))$/;(S.fn.init=function(e,t,n){var r,i;if(!e)return this;if(n=n||D,"string"==typeof e){if(!(r="<"===e[0]&&">"===e[e.length-1]&&3<=e.length?[null,e,null]:q.exec(e))||!r[1]&&t)return!t||t.jquery?(t||n).find(e):this.constructor(t).find(e);if(r[1]){if(t=t instanceof S?t[0]:t,S.merge(this,S.parseHTML(r[1],t&&t.nodeType?t.ownerDocument||t:E,!0)),N.test(r[1])&&S.isPlainObject(t))for(r in t)m(this[r])?this[r](t[r]):this.attr(r,t[r]);return this}return(i=E.getElementById(r[2]))&&(this[0]=i,this.length=1),this}return e.nodeType?(this[0]=e,this.length=1,this):m(e)?void 0!==n.ready?n.ready(e):e(S):S.makeArray(e,this)}).prototype=S.fn,D=S(E);var L=/^(?:parents|prev(?:Until|All))/,H={children:!0,contents:!0,next:!0,prev:!0};function O(e,t){while((e=e[t])&&1!==e.nodeType);return e}S.fn.extend({has:function(e){var t=S(e,this),n=t.length;return this.filter(function(){for(var e=0;e\x20\t\r\n\f]*)/i,he=/^$|^module$|\/(?:java|ecma)script/i;ce=E.createDocumentFragment().appendChild(E.createElement("div")),(fe=E.createElement("input")).setAttribute("type","radio"),fe.setAttribute("checked","checked"),fe.setAttribute("name","t"),ce.appendChild(fe),y.checkClone=ce.cloneNode(!0).cloneNode(!0).lastChild.checked,ce.innerHTML="",y.noCloneChecked=!!ce.cloneNode(!0).lastChild.defaultValue,ce.innerHTML="",y.option=!!ce.lastChild;var ge={thead:[1,"","
"],col:[2,"","
"],tr:[2,"","
"],td:[3,"","
"],_default:[0,"",""]};function ve(e,t){var n;return n="undefined"!=typeof e.getElementsByTagName?e.getElementsByTagName(t||"*"):"undefined"!=typeof e.querySelectorAll?e.querySelectorAll(t||"*"):[],void 0===t||t&&A(e,t)?S.merge([e],n):n}function ye(e,t){for(var n=0,r=e.length;n",""]);var me=/<|&#?\w+;/;function xe(e,t,n,r,i){for(var o,a,s,u,l,c,f=t.createDocumentFragment(),p=[],d=0,h=e.length;d\s*$/g;function je(e,t){return A(e,"table")&&A(11!==t.nodeType?t:t.firstChild,"tr")&&S(e).children("tbody")[0]||e}function De(e){return e.type=(null!==e.getAttribute("type"))+"/"+e.type,e}function qe(e){return"true/"===(e.type||"").slice(0,5)?e.type=e.type.slice(5):e.removeAttribute("type"),e}function Le(e,t){var n,r,i,o,a,s;if(1===t.nodeType){if(Y.hasData(e)&&(s=Y.get(e).events))for(i in Y.remove(t,"handle events"),s)for(n=0,r=s[i].length;n").attr(n.scriptAttrs||{}).prop({charset:n.scriptCharset,src:n.url}).on("load error",i=function(e){r.remove(),i=null,e&&t("error"===e.type?404:200,e.type)}),E.head.appendChild(r[0])},abort:function(){i&&i()}}});var _t,zt=[],Ut=/(=)\?(?=&|$)|\?\?/;S.ajaxSetup({jsonp:"callback",jsonpCallback:function(){var e=zt.pop()||S.expando+"_"+wt.guid++;return this[e]=!0,e}}),S.ajaxPrefilter("json jsonp",function(e,t,n){var r,i,o,a=!1!==e.jsonp&&(Ut.test(e.url)?"url":"string"==typeof e.data&&0===(e.contentType||"").indexOf("application/x-www-form-urlencoded")&&Ut.test(e.data)&&"data");if(a||"jsonp"===e.dataTypes[0])return r=e.jsonpCallback=m(e.jsonpCallback)?e.jsonpCallback():e.jsonpCallback,a?e[a]=e[a].replace(Ut,"$1"+r):!1!==e.jsonp&&(e.url+=(Tt.test(e.url)?"&":"?")+e.jsonp+"="+r),e.converters["script json"]=function(){return o||S.error(r+" was not called"),o[0]},e.dataTypes[0]="json",i=C[r],C[r]=function(){o=arguments},n.always(function(){void 0===i?S(C).removeProp(r):C[r]=i,e[r]&&(e.jsonpCallback=t.jsonpCallback,zt.push(r)),o&&m(i)&&i(o[0]),o=i=void 0}),"script"}),y.createHTMLDocument=((_t=E.implementation.createHTMLDocument("").body).innerHTML="
",2===_t.childNodes.length),S.parseHTML=function(e,t,n){return"string"!=typeof e?[]:("boolean"==typeof t&&(n=t,t=!1),t||(y.createHTMLDocument?((r=(t=E.implementation.createHTMLDocument("")).createElement("base")).href=E.location.href,t.head.appendChild(r)):t=E),o=!n&&[],(i=N.exec(e))?[t.createElement(i[1])]:(i=xe([e],t,o),o&&o.length&&S(o).remove(),S.merge([],i.childNodes)));var r,i,o},S.fn.load=function(e,t,n){var r,i,o,a=this,s=e.indexOf(" ");return-1").append(S.parseHTML(e)).find(r):e)}).always(n&&function(e,t){a.each(function(){n.apply(this,o||[e.responseText,t,e])})}),this},S.expr.pseudos.animated=function(t){return S.grep(S.timers,function(e){return t===e.elem}).length},S.offset={setOffset:function(e,t,n){var r,i,o,a,s,u,l=S.css(e,"position"),c=S(e),f={};"static"===l&&(e.style.position="relative"),s=c.offset(),o=S.css(e,"top"),u=S.css(e,"left"),("absolute"===l||"fixed"===l)&&-1<(o+u).indexOf("auto")?(a=(r=c.position()).top,i=r.left):(a=parseFloat(o)||0,i=parseFloat(u)||0),m(t)&&(t=t.call(e,n,S.extend({},s))),null!=t.top&&(f.top=t.top-s.top+a),null!=t.left&&(f.left=t.left-s.left+i),"using"in t?t.using.call(e,f):c.css(f)}},S.fn.extend({offset:function(t){if(arguments.length)return void 0===t?this:this.each(function(e){S.offset.setOffset(this,t,e)});var e,n,r=this[0];return r?r.getClientRects().length?(e=r.getBoundingClientRect(),n=r.ownerDocument.defaultView,{top:e.top+n.pageYOffset,left:e.left+n.pageXOffset}):{top:0,left:0}:void 0},position:function(){if(this[0]){var e,t,n,r=this[0],i={top:0,left:0};if("fixed"===S.css(r,"position"))t=r.getBoundingClientRect();else{t=this.offset(),n=r.ownerDocument,e=r.offsetParent||n.documentElement;while(e&&(e===n.body||e===n.documentElement)&&"static"===S.css(e,"position"))e=e.parentNode;e&&e!==r&&1===e.nodeType&&((i=S(e).offset()).top+=S.css(e,"borderTopWidth",!0),i.left+=S.css(e,"borderLeftWidth",!0))}return{top:t.top-i.top-S.css(r,"marginTop",!0),left:t.left-i.left-S.css(r,"marginLeft",!0)}}},offsetParent:function(){return this.map(function(){var e=this.offsetParent;while(e&&"static"===S.css(e,"position"))e=e.offsetParent;return e||re})}}),S.each({scrollLeft:"pageXOffset",scrollTop:"pageYOffset"},function(t,i){var o="pageYOffset"===i;S.fn[t]=function(e){return $(this,function(e,t,n){var r;if(x(e)?r=e:9===e.nodeType&&(r=e.defaultView),void 0===n)return r?r[i]:e[t];r?r.scrollTo(o?r.pageXOffset:n,o?n:r.pageYOffset):e[t]=n},t,e,arguments.length)}}),S.each(["top","left"],function(e,n){S.cssHooks[n]=Fe(y.pixelPosition,function(e,t){if(t)return t=We(e,n),Pe.test(t)?S(e).position()[n]+"px":t})}),S.each({Height:"height",Width:"width"},function(a,s){S.each({padding:"inner"+a,content:s,"":"outer"+a},function(r,o){S.fn[o]=function(e,t){var n=arguments.length&&(r||"boolean"!=typeof e),i=r||(!0===e||!0===t?"margin":"border");return $(this,function(e,t,n){var r;return x(e)?0===o.indexOf("outer")?e["inner"+a]:e.document.documentElement["client"+a]:9===e.nodeType?(r=e.documentElement,Math.max(e.body["scroll"+a],r["scroll"+a],e.body["offset"+a],r["offset"+a],r["client"+a])):void 0===n?S.css(e,t,i):S.style(e,t,n,i)},s,n?e:void 0,n)}})}),S.each(["ajaxStart","ajaxStop","ajaxComplete","ajaxError","ajaxSuccess","ajaxSend"],function(e,t){S.fn[t]=function(e){return this.on(t,e)}}),S.fn.extend({bind:function(e,t,n){return this.on(e,null,t,n)},unbind:function(e,t){return this.off(e,null,t)},delegate:function(e,t,n,r){return this.on(t,e,n,r)},undelegate:function(e,t,n){return 1===arguments.length?this.off(e,"**"):this.off(t,e||"**",n)},hover:function(e,t){return this.mouseenter(e).mouseleave(t||e)}}),S.each("blur focus focusin focusout resize scroll click dblclick mousedown mouseup mousemove mouseover mouseout mouseenter mouseleave change select submit keydown keypress keyup contextmenu".split(" "),function(e,n){S.fn[n]=function(e,t){return 0",d.insertBefore(c.lastChild,d.firstChild)}function d(){var a=y.elements;return"string"==typeof a?a.split(" "):a}function e(a,b){var c=y.elements;"string"!=typeof c&&(c=c.join(" ")),"string"!=typeof a&&(a=a.join(" ")),y.elements=c+" "+a,j(b)}function f(a){var b=x[a[v]];return b||(b={},w++,a[v]=w,x[w]=b),b}function g(a,c,d){if(c||(c=b),q)return c.createElement(a);d||(d=f(c));var e;return e=d.cache[a]?d.cache[a].cloneNode():u.test(a)?(d.cache[a]=d.createElem(a)).cloneNode():d.createElem(a),!e.canHaveChildren||t.test(a)||e.tagUrn?e:d.frag.appendChild(e)}function h(a,c){if(a||(a=b),q)return a.createDocumentFragment();c=c||f(a);for(var e=c.frag.cloneNode(),g=0,h=d(),i=h.length;i>g;g++)e.createElement(h[g]);return e}function i(a,b){b.cache||(b.cache={},b.createElem=a.createElement,b.createFrag=a.createDocumentFragment,b.frag=b.createFrag()),a.createElement=function(c){return y.shivMethods?g(c,a,b):b.createElem(c)},a.createDocumentFragment=Function("h,f","return function(){var n=f.cloneNode(),c=n.createElement;h.shivMethods&&("+d().join().replace(/[\w\-:]+/g,function(a){return b.createElem(a),b.frag.createElement(a),'c("'+a+'")'})+");return n}")(y,b.frag)}function j(a){a||(a=b);var d=f(a);return!y.shivCSS||p||d.hasCSS||(d.hasCSS=!!c(a,"article,aside,dialog,figcaption,figure,footer,header,hgroup,main,nav,section{display:block}mark{background:#FF0;color:#000}template{display:none}")),q||i(a,d),a}function k(a){for(var b,c=a.getElementsByTagName("*"),e=c.length,f=RegExp("^(?:"+d().join("|")+")$","i"),g=[];e--;)b=c[e],f.test(b.nodeName)&&g.push(b.applyElement(l(b)));return g}function l(a){for(var b,c=a.attributes,d=c.length,e=a.ownerDocument.createElement(A+":"+a.nodeName);d--;)b=c[d],b.specified&&e.setAttribute(b.nodeName,b.nodeValue);return e.style.cssText=a.style.cssText,e}function m(a){for(var b,c=a.split("{"),e=c.length,f=RegExp("(^|[\\s,>+~])("+d().join("|")+")(?=[[\\s,>+~#.:]|$)","gi"),g="$1"+A+"\\:$2";e--;)b=c[e]=c[e].split("}"),b[b.length-1]=b[b.length-1].replace(f,g),c[e]=b.join("}");return c.join("{")}function n(a){for(var b=a.length;b--;)a[b].removeNode()}function o(a){function b(){clearTimeout(g._removeSheetTimer),d&&d.removeNode(!0),d=null}var d,e,g=f(a),h=a.namespaces,i=a.parentWindow;return!B||a.printShived?a:("undefined"==typeof h[A]&&h.add(A),i.attachEvent("onbeforeprint",function(){b();for(var f,g,h,i=a.styleSheets,j=[],l=i.length,n=Array(l);l--;)n[l]=i[l];for(;h=n.pop();)if(!h.disabled&&z.test(h.media)){try{f=h.imports,g=f.length}catch(o){g=0}for(l=0;g>l;l++)n.push(f[l]);try{j.push(h.cssText)}catch(o){}}j=m(j.reverse().join("")),e=k(a),d=c(a,j)}),i.attachEvent("onafterprint",function(){n(e),clearTimeout(g._removeSheetTimer),g._removeSheetTimer=setTimeout(b,500)}),a.printShived=!0,a)}var p,q,r="3.7.3",s=a.html5||{},t=/^<|^(?:button|map|select|textarea|object|iframe|option|optgroup)$/i,u=/^(?:a|b|code|div|fieldset|h1|h2|h3|h4|h5|h6|i|label|li|ol|p|q|span|strong|style|table|tbody|td|th|tr|ul)$/i,v="_html5shiv",w=0,x={};!function(){try{var a=b.createElement("a");a.innerHTML="",p="hidden"in a,q=1==a.childNodes.length||function(){b.createElement("a");var a=b.createDocumentFragment();return"undefined"==typeof a.cloneNode||"undefined"==typeof a.createDocumentFragment||"undefined"==typeof a.createElement}()}catch(c){p=!0,q=!0}}();var y={elements:s.elements||"abbr article aside audio bdi canvas data datalist details dialog figcaption figure footer header hgroup main mark meter nav output picture progress section summary template time video",version:r,shivCSS:s.shivCSS!==!1,supportsUnknownElements:q,shivMethods:s.shivMethods!==!1,type:"default",shivDocument:j,createElement:g,createDocumentFragment:h,addElements:e};a.html5=y,j(b);var z=/^$|\b(?:all|print)\b/,A="html5shiv",B=!q&&function(){var c=b.documentElement;return!("undefined"==typeof b.namespaces||"undefined"==typeof b.parentWindow||"undefined"==typeof c.applyElement||"undefined"==typeof c.removeNode||"undefined"==typeof a.attachEvent)}();y.type+=" print",y.shivPrint=o,o(b),"object"==typeof module&&module.exports&&(module.exports=y)}("undefined"!=typeof window?window:this,document); \ No newline at end of file diff --git a/_static/js/html5shiv.min.js b/_static/js/html5shiv.min.js new file mode 100644 index 000000000..cd1c674f5 --- /dev/null +++ b/_static/js/html5shiv.min.js @@ -0,0 +1,4 @@ +/** +* @preserve HTML5 Shiv 3.7.3 | @afarkas @jdalton @jon_neal @rem | MIT/GPL2 Licensed +*/ +!function(a,b){function c(a,b){var c=a.createElement("p"),d=a.getElementsByTagName("head")[0]||a.documentElement;return c.innerHTML="x",d.insertBefore(c.lastChild,d.firstChild)}function d(){var a=t.elements;return"string"==typeof a?a.split(" "):a}function e(a,b){var c=t.elements;"string"!=typeof c&&(c=c.join(" ")),"string"!=typeof a&&(a=a.join(" ")),t.elements=c+" "+a,j(b)}function f(a){var b=s[a[q]];return b||(b={},r++,a[q]=r,s[r]=b),b}function g(a,c,d){if(c||(c=b),l)return c.createElement(a);d||(d=f(c));var e;return e=d.cache[a]?d.cache[a].cloneNode():p.test(a)?(d.cache[a]=d.createElem(a)).cloneNode():d.createElem(a),!e.canHaveChildren||o.test(a)||e.tagUrn?e:d.frag.appendChild(e)}function h(a,c){if(a||(a=b),l)return a.createDocumentFragment();c=c||f(a);for(var e=c.frag.cloneNode(),g=0,h=d(),i=h.length;i>g;g++)e.createElement(h[g]);return e}function i(a,b){b.cache||(b.cache={},b.createElem=a.createElement,b.createFrag=a.createDocumentFragment,b.frag=b.createFrag()),a.createElement=function(c){return t.shivMethods?g(c,a,b):b.createElem(c)},a.createDocumentFragment=Function("h,f","return function(){var n=f.cloneNode(),c=n.createElement;h.shivMethods&&("+d().join().replace(/[\w\-:]+/g,function(a){return b.createElem(a),b.frag.createElement(a),'c("'+a+'")'})+");return n}")(t,b.frag)}function j(a){a||(a=b);var d=f(a);return!t.shivCSS||k||d.hasCSS||(d.hasCSS=!!c(a,"article,aside,dialog,figcaption,figure,footer,header,hgroup,main,nav,section{display:block}mark{background:#FF0;color:#000}template{display:none}")),l||i(a,d),a}var k,l,m="3.7.3-pre",n=a.html5||{},o=/^<|^(?:button|map|select|textarea|object|iframe|option|optgroup)$/i,p=/^(?:a|b|code|div|fieldset|h1|h2|h3|h4|h5|h6|i|label|li|ol|p|q|span|strong|style|table|tbody|td|th|tr|ul)$/i,q="_html5shiv",r=0,s={};!function(){try{var a=b.createElement("a");a.innerHTML="",k="hidden"in a,l=1==a.childNodes.length||function(){b.createElement("a");var a=b.createDocumentFragment();return"undefined"==typeof a.cloneNode||"undefined"==typeof a.createDocumentFragment||"undefined"==typeof a.createElement}()}catch(c){k=!0,l=!0}}();var t={elements:n.elements||"abbr article aside audio bdi canvas data datalist details dialog figcaption figure footer header hgroup main mark meter nav output picture progress section summary template time video",version:m,shivCSS:n.shivCSS!==!1,supportsUnknownElements:l,shivMethods:n.shivMethods!==!1,type:"default",shivDocument:j,createElement:g,createDocumentFragment:h,addElements:e};a.html5=t,j(b),"object"==typeof module&&module.exports&&(module.exports=t)}("undefined"!=typeof window?window:this,document); \ No newline at end of file diff --git a/_static/js/theme.js b/_static/js/theme.js new file mode 100644 index 000000000..1fddb6ee4 --- /dev/null +++ b/_static/js/theme.js @@ -0,0 +1 @@ +!function(n){var e={};function t(i){if(e[i])return e[i].exports;var o=e[i]={i:i,l:!1,exports:{}};return n[i].call(o.exports,o,o.exports,t),o.l=!0,o.exports}t.m=n,t.c=e,t.d=function(n,e,i){t.o(n,e)||Object.defineProperty(n,e,{enumerable:!0,get:i})},t.r=function(n){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(n,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(n,"__esModule",{value:!0})},t.t=function(n,e){if(1&e&&(n=t(n)),8&e)return n;if(4&e&&"object"==typeof n&&n&&n.__esModule)return n;var i=Object.create(null);if(t.r(i),Object.defineProperty(i,"default",{enumerable:!0,value:n}),2&e&&"string"!=typeof n)for(var o in n)t.d(i,o,function(e){return n[e]}.bind(null,o));return i},t.n=function(n){var e=n&&n.__esModule?function(){return n.default}:function(){return n};return t.d(e,"a",e),e},t.o=function(n,e){return Object.prototype.hasOwnProperty.call(n,e)},t.p="",t(t.s=0)}([function(n,e,t){t(1),n.exports=t(3)},function(n,e,t){(function(){var e="undefined"!=typeof window?window.jQuery:t(2);n.exports.ThemeNav={navBar:null,win:null,winScroll:!1,winResize:!1,linkScroll:!1,winPosition:0,winHeight:null,docHeight:null,isRunning:!1,enable:function(n){var t=this;void 0===n&&(n=!0),t.isRunning||(t.isRunning=!0,e((function(e){t.init(e),t.reset(),t.win.on("hashchange",t.reset),n&&t.win.on("scroll",(function(){t.linkScroll||t.winScroll||(t.winScroll=!0,requestAnimationFrame((function(){t.onScroll()})))})),t.win.on("resize",(function(){t.winResize||(t.winResize=!0,requestAnimationFrame((function(){t.onResize()})))})),t.onResize()})))},enableSticky:function(){this.enable(!0)},init:function(n){n(document);var e=this;this.navBar=n("div.wy-side-scroll:first"),this.win=n(window),n(document).on("click","[data-toggle='wy-nav-top']",(function(){n("[data-toggle='wy-nav-shift']").toggleClass("shift"),n("[data-toggle='rst-versions']").toggleClass("shift")})).on("click",".wy-menu-vertical .current ul li a",(function(){var t=n(this);n("[data-toggle='wy-nav-shift']").removeClass("shift"),n("[data-toggle='rst-versions']").toggleClass("shift"),e.toggleCurrent(t),e.hashChange()})).on("click","[data-toggle='rst-current-version']",(function(){n("[data-toggle='rst-versions']").toggleClass("shift-up")})),n("table.docutils:not(.field-list,.footnote,.citation)").wrap("
"),n("table.docutils.footnote").wrap("
"),n("table.docutils.citation").wrap("
"),n(".wy-menu-vertical ul").not(".simple").siblings("a").each((function(){var t=n(this);expand=n(''),expand.on("click",(function(n){return e.toggleCurrent(t),n.stopPropagation(),!1})),t.prepend(expand)}))},reset:function(){var n=encodeURI(window.location.hash)||"#";try{var e=$(".wy-menu-vertical"),t=e.find('[href="'+n+'"]');if(0===t.length){var i=$('.document [id="'+n.substring(1)+'"]').closest("div.section");0===(t=e.find('[href="#'+i.attr("id")+'"]')).length&&(t=e.find('[href="#"]'))}if(t.length>0){$(".wy-menu-vertical .current").removeClass("current").attr("aria-expanded","false"),t.addClass("current").attr("aria-expanded","true"),t.closest("li.toctree-l1").parent().addClass("current").attr("aria-expanded","true");for(let n=1;n<=10;n++)t.closest("li.toctree-l"+n).addClass("current").attr("aria-expanded","true");t[0].scrollIntoView()}}catch(n){console.log("Error expanding nav for anchor",n)}},onScroll:function(){this.winScroll=!1;var n=this.win.scrollTop(),e=n+this.winHeight,t=this.navBar.scrollTop()+(n-this.winPosition);n<0||e>this.docHeight||(this.navBar.scrollTop(t),this.winPosition=n)},onResize:function(){this.winResize=!1,this.winHeight=this.win.height(),this.docHeight=$(document).height()},hashChange:function(){this.linkScroll=!0,this.win.one("hashchange",(function(){this.linkScroll=!1}))},toggleCurrent:function(n){var e=n.closest("li");e.siblings("li.current").removeClass("current").attr("aria-expanded","false"),e.siblings().find("li.current").removeClass("current").attr("aria-expanded","false");var t=e.find("> ul li");t.length&&(t.removeClass("current").attr("aria-expanded","false"),e.toggleClass("current").attr("aria-expanded",(function(n,e){return"true"==e?"false":"true"})))}},"undefined"!=typeof window&&(window.SphinxRtdTheme={Navigation:n.exports.ThemeNav,StickyNav:n.exports.ThemeNav}),function(){for(var n=0,e=["ms","moz","webkit","o"],t=0;t0 + var meq1 = "^(" + C + ")?" + V + C + "(" + V + ")?$"; // [C]VC[V] is m=1 + var mgr1 = "^(" + C + ")?" + V + C + V + C; // [C]VCVC... is m>1 + var s_v = "^(" + C + ")?" + v; // vowel in stem + + this.stemWord = function (w) { + var stem; + var suffix; + var firstch; + var origword = w; + + if (w.length < 3) + return w; + + var re; + var re2; + var re3; + var re4; + + firstch = w.substr(0,1); + if (firstch == "y") + w = firstch.toUpperCase() + w.substr(1); + + // Step 1a + re = /^(.+?)(ss|i)es$/; + re2 = /^(.+?)([^s])s$/; + + if (re.test(w)) + w = w.replace(re,"$1$2"); + else if (re2.test(w)) + w = w.replace(re2,"$1$2"); + + // Step 1b + re = /^(.+?)eed$/; + re2 = /^(.+?)(ed|ing)$/; + if (re.test(w)) { + var fp = re.exec(w); + re = new RegExp(mgr0); + if (re.test(fp[1])) { + re = /.$/; + w = w.replace(re,""); + } + } + else if (re2.test(w)) { + var fp = re2.exec(w); + stem = fp[1]; + re2 = new RegExp(s_v); + if (re2.test(stem)) { + w = stem; + re2 = /(at|bl|iz)$/; + re3 = new RegExp("([^aeiouylsz])\\1$"); + re4 = new RegExp("^" + C + v + "[^aeiouwxy]$"); + if (re2.test(w)) + w = w + "e"; + else if (re3.test(w)) { + re = /.$/; + w = w.replace(re,""); + } + else if (re4.test(w)) + w = w + "e"; + } + } + + // Step 1c + re = /^(.+?)y$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + re = new RegExp(s_v); + if (re.test(stem)) + w = stem + "i"; + } + + // Step 2 + re = /^(.+?)(ational|tional|enci|anci|izer|bli|alli|entli|eli|ousli|ization|ation|ator|alism|iveness|fulness|ousness|aliti|iviti|biliti|logi)$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + suffix = fp[2]; + re = new RegExp(mgr0); + if (re.test(stem)) + w = stem + step2list[suffix]; + } + + // Step 3 + re = /^(.+?)(icate|ative|alize|iciti|ical|ful|ness)$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + suffix = fp[2]; + re = new RegExp(mgr0); + if (re.test(stem)) + w = stem + step3list[suffix]; + } + + // Step 4 + re = /^(.+?)(al|ance|ence|er|ic|able|ible|ant|ement|ment|ent|ou|ism|ate|iti|ous|ive|ize)$/; + re2 = /^(.+?)(s|t)(ion)$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + re = new RegExp(mgr1); + if (re.test(stem)) + w = stem; + } + else if (re2.test(w)) { + var fp = re2.exec(w); + stem = fp[1] + fp[2]; + re2 = new RegExp(mgr1); + if (re2.test(stem)) + w = stem; + } + + // Step 5 + re = /^(.+?)e$/; + if (re.test(w)) { + var fp = re.exec(w); + stem = fp[1]; + re = new RegExp(mgr1); + re2 = new RegExp(meq1); + re3 = new RegExp("^" + C + v + "[^aeiouwxy]$"); + if (re.test(stem) || (re2.test(stem) && !(re3.test(stem)))) + w = stem; + } + re = /ll$/; + re2 = new RegExp(mgr1); + if (re.test(w) && re2.test(w)) { + re = /.$/; + w = w.replace(re,""); + } + + // and turn initial Y back to y + if (firstch == "y") + w = firstch.toLowerCase() + w.substr(1); + return w; + } +} + diff --git a/_static/logo.svg b/_static/logo.svg new file mode 100644 index 000000000..62d264f79 --- /dev/null +++ b/_static/logo.svg @@ -0,0 +1,10 @@ + + + + + + + + + + diff --git a/_static/minus.png b/_static/minus.png new file mode 100644 index 000000000..d96755fda Binary files /dev/null and b/_static/minus.png differ diff --git a/_static/plus.png b/_static/plus.png new file mode 100644 index 000000000..7107cec93 Binary files /dev/null and b/_static/plus.png differ diff --git a/_static/pygments.css b/_static/pygments.css new file mode 100644 index 000000000..3f7c12800 --- /dev/null +++ b/_static/pygments.css @@ -0,0 +1,75 @@ +pre { line-height: 125%; } +td.linenos .normal { color: inherit; background-color: transparent; padding-left: 5px; padding-right: 5px; } +span.linenos { color: inherit; background-color: transparent; padding-left: 5px; padding-right: 5px; } +td.linenos .special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +span.linenos.special { color: #000000; background-color: #ffffc0; padding-left: 5px; padding-right: 5px; } +.highlight .hll { background-color: #ffffcc } +.highlight { background: #f0f3f3; } +.highlight .c { color: #0099FF; font-style: italic } /* Comment */ +.highlight .err { color: #AA0000; background-color: #FFAAAA } /* Error */ +.highlight .k { color: #006699; font-weight: bold } /* Keyword */ +.highlight .o { color: #555555 } /* Operator */ +.highlight .ch { color: #0099FF; font-style: italic } /* Comment.Hashbang */ +.highlight .cm { color: #0099FF; font-style: italic } /* Comment.Multiline */ +.highlight .cp { color: #009999 } /* Comment.Preproc */ +.highlight .cpf { color: #0099FF; font-style: italic } /* Comment.PreprocFile */ +.highlight .c1 { color: #0099FF; font-style: italic } /* Comment.Single */ +.highlight .cs { color: #0099FF; font-weight: bold; font-style: italic } /* Comment.Special */ +.highlight .gd { background-color: #FFCCCC; border: 1px solid #CC0000 } /* Generic.Deleted */ +.highlight .ge { font-style: italic } /* Generic.Emph */ +.highlight .ges { font-weight: bold; font-style: italic } /* Generic.EmphStrong */ +.highlight .gr { color: #FF0000 } /* Generic.Error */ +.highlight .gh { color: #003300; font-weight: bold } /* Generic.Heading */ +.highlight .gi { background-color: #CCFFCC; border: 1px solid #00CC00 } /* Generic.Inserted */ +.highlight .go { color: #AAAAAA } /* Generic.Output */ +.highlight .gp { color: #000099; font-weight: bold } /* Generic.Prompt */ +.highlight .gs { font-weight: bold } /* Generic.Strong */ +.highlight .gu { color: #003300; font-weight: bold } /* Generic.Subheading */ +.highlight .gt { color: #99CC66 } /* Generic.Traceback */ +.highlight .kc { color: #006699; font-weight: bold } /* Keyword.Constant */ +.highlight .kd { color: #006699; font-weight: bold } /* Keyword.Declaration */ +.highlight .kn { color: #006699; font-weight: bold } /* Keyword.Namespace */ +.highlight .kp { color: #006699 } /* Keyword.Pseudo */ +.highlight .kr { color: #006699; font-weight: bold } /* Keyword.Reserved */ +.highlight .kt { color: #007788; font-weight: bold } /* Keyword.Type */ +.highlight .m { color: #FF6600 } /* Literal.Number */ +.highlight .s { color: #CC3300 } /* Literal.String */ +.highlight .na { color: #330099 } /* Name.Attribute */ +.highlight .nb { color: #336666 } /* Name.Builtin */ +.highlight .nc { color: #00AA88; font-weight: bold } /* Name.Class */ +.highlight .no { color: #336600 } /* Name.Constant */ +.highlight .nd { color: #9999FF } /* Name.Decorator */ +.highlight .ni { color: #999999; font-weight: bold } /* Name.Entity */ +.highlight .ne { color: #CC0000; font-weight: bold } /* Name.Exception */ +.highlight .nf { color: #CC00FF } /* Name.Function */ +.highlight .nl { color: #9999FF } /* Name.Label */ +.highlight .nn { color: #00CCFF; font-weight: bold } /* Name.Namespace */ +.highlight .nt { color: #330099; font-weight: bold } /* Name.Tag */ +.highlight .nv { color: #003333 } /* Name.Variable */ +.highlight .ow { color: #000000; font-weight: bold } /* Operator.Word */ +.highlight .w { color: #bbbbbb } /* Text.Whitespace */ +.highlight .mb { color: #FF6600 } /* Literal.Number.Bin */ +.highlight .mf { color: #FF6600 } /* Literal.Number.Float */ +.highlight .mh { color: #FF6600 } /* Literal.Number.Hex */ +.highlight .mi { color: #FF6600 } /* Literal.Number.Integer */ +.highlight .mo { color: #FF6600 } /* Literal.Number.Oct */ +.highlight .sa { color: #CC3300 } /* Literal.String.Affix */ +.highlight .sb { color: #CC3300 } /* Literal.String.Backtick */ +.highlight .sc { color: #CC3300 } /* Literal.String.Char */ +.highlight .dl { color: #CC3300 } /* Literal.String.Delimiter */ +.highlight .sd { color: #CC3300; font-style: italic } /* Literal.String.Doc */ +.highlight .s2 { color: #CC3300 } /* Literal.String.Double */ +.highlight .se { color: #CC3300; font-weight: bold } /* Literal.String.Escape */ +.highlight .sh { color: #CC3300 } /* Literal.String.Heredoc */ +.highlight .si { color: #AA0000 } /* Literal.String.Interpol */ +.highlight .sx { color: #CC3300 } /* Literal.String.Other */ +.highlight .sr { color: #33AAAA } /* Literal.String.Regex */ +.highlight .s1 { color: #CC3300 } /* Literal.String.Single */ +.highlight .ss { color: #FFCC33 } /* Literal.String.Symbol */ +.highlight .bp { color: #336666 } /* Name.Builtin.Pseudo */ +.highlight .fm { color: #CC00FF } /* Name.Function.Magic */ +.highlight .vc { color: #003333 } /* Name.Variable.Class */ +.highlight .vg { color: #003333 } /* Name.Variable.Global */ +.highlight .vi { color: #003333 } /* Name.Variable.Instance */ +.highlight .vm { color: #003333 } /* Name.Variable.Magic */ +.highlight .il { color: #FF6600 } /* Literal.Number.Integer.Long */ \ No newline at end of file diff --git a/_static/searchtools.js b/_static/searchtools.js new file mode 100644 index 000000000..b08d58c9b --- /dev/null +++ b/_static/searchtools.js @@ -0,0 +1,620 @@ +/* + * searchtools.js + * ~~~~~~~~~~~~~~~~ + * + * Sphinx JavaScript utilities for the full-text search. + * + * :copyright: Copyright 2007-2024 by the Sphinx team, see AUTHORS. + * :license: BSD, see LICENSE for details. + * + */ +"use strict"; + +/** + * Simple result scoring code. + */ +if (typeof Scorer === "undefined") { + var Scorer = { + // Implement the following function to further tweak the score for each result + // The function takes a result array [docname, title, anchor, descr, score, filename] + // and returns the new score. + /* + score: result => { + const [docname, title, anchor, descr, score, filename] = result + return score + }, + */ + + // query matches the full name of an object + objNameMatch: 11, + // or matches in the last dotted part of the object name + objPartialMatch: 6, + // Additive scores depending on the priority of the object + objPrio: { + 0: 15, // used to be importantResults + 1: 5, // used to be objectResults + 2: -5, // used to be unimportantResults + }, + // Used when the priority is not in the mapping. + objPrioDefault: 0, + + // query found in title + title: 15, + partialTitle: 7, + // query found in terms + term: 5, + partialTerm: 2, + }; +} + +const _removeChildren = (element) => { + while (element && element.lastChild) element.removeChild(element.lastChild); +}; + +/** + * See https://developer.mozilla.org/en-US/docs/Web/JavaScript/Guide/Regular_Expressions#escaping + */ +const _escapeRegExp = (string) => + string.replace(/[.*+\-?^${}()|[\]\\]/g, "\\$&"); // $& means the whole matched string + +const _displayItem = (item, searchTerms, highlightTerms) => { + const docBuilder = DOCUMENTATION_OPTIONS.BUILDER; + const docFileSuffix = DOCUMENTATION_OPTIONS.FILE_SUFFIX; + const docLinkSuffix = DOCUMENTATION_OPTIONS.LINK_SUFFIX; + const showSearchSummary = DOCUMENTATION_OPTIONS.SHOW_SEARCH_SUMMARY; + const contentRoot = document.documentElement.dataset.content_root; + + const [docName, title, anchor, descr, score, _filename] = item; + + let listItem = document.createElement("li"); + let requestUrl; + let linkUrl; + if (docBuilder === "dirhtml") { + // dirhtml builder + let dirname = docName + "/"; + if (dirname.match(/\/index\/$/)) + dirname = dirname.substring(0, dirname.length - 6); + else if (dirname === "index/") dirname = ""; + requestUrl = contentRoot + dirname; + linkUrl = requestUrl; + } else { + // normal html builders + requestUrl = contentRoot + docName + docFileSuffix; + linkUrl = docName + docLinkSuffix; + } + let linkEl = listItem.appendChild(document.createElement("a")); + linkEl.href = linkUrl + anchor; + linkEl.dataset.score = score; + linkEl.innerHTML = title; + if (descr) { + listItem.appendChild(document.createElement("span")).innerHTML = + " (" + descr + ")"; + // highlight search terms in the description + if (SPHINX_HIGHLIGHT_ENABLED) // set in sphinx_highlight.js + highlightTerms.forEach((term) => _highlightText(listItem, term, "highlighted")); + } + else if (showSearchSummary) + fetch(requestUrl) + .then((responseData) => responseData.text()) + .then((data) => { + if (data) + listItem.appendChild( + Search.makeSearchSummary(data, searchTerms, anchor) + ); + // highlight search terms in the summary + if (SPHINX_HIGHLIGHT_ENABLED) // set in sphinx_highlight.js + highlightTerms.forEach((term) => _highlightText(listItem, term, "highlighted")); + }); + Search.output.appendChild(listItem); +}; +const _finishSearch = (resultCount) => { + Search.stopPulse(); + Search.title.innerText = _("Search Results"); + if (!resultCount) + Search.status.innerText = Documentation.gettext( + "Your search did not match any documents. Please make sure that all words are spelled correctly and that you've selected enough categories." + ); + else + Search.status.innerText = _( + "Search finished, found ${resultCount} page(s) matching the search query." + ).replace('${resultCount}', resultCount); +}; +const _displayNextItem = ( + results, + resultCount, + searchTerms, + highlightTerms, +) => { + // results left, load the summary and display it + // this is intended to be dynamic (don't sub resultsCount) + if (results.length) { + _displayItem(results.pop(), searchTerms, highlightTerms); + setTimeout( + () => _displayNextItem(results, resultCount, searchTerms, highlightTerms), + 5 + ); + } + // search finished, update title and status message + else _finishSearch(resultCount); +}; +// Helper function used by query() to order search results. +// Each input is an array of [docname, title, anchor, descr, score, filename]. +// Order the results by score (in opposite order of appearance, since the +// `_displayNextItem` function uses pop() to retrieve items) and then alphabetically. +const _orderResultsByScoreThenName = (a, b) => { + const leftScore = a[4]; + const rightScore = b[4]; + if (leftScore === rightScore) { + // same score: sort alphabetically + const leftTitle = a[1].toLowerCase(); + const rightTitle = b[1].toLowerCase(); + if (leftTitle === rightTitle) return 0; + return leftTitle > rightTitle ? -1 : 1; // inverted is intentional + } + return leftScore > rightScore ? 1 : -1; +}; + +/** + * Default splitQuery function. Can be overridden in ``sphinx.search`` with a + * custom function per language. + * + * The regular expression works by splitting the string on consecutive characters + * that are not Unicode letters, numbers, underscores, or emoji characters. + * This is the same as ``\W+`` in Python, preserving the surrogate pair area. + */ +if (typeof splitQuery === "undefined") { + var splitQuery = (query) => query + .split(/[^\p{Letter}\p{Number}_\p{Emoji_Presentation}]+/gu) + .filter(term => term) // remove remaining empty strings +} + +/** + * Search Module + */ +const Search = { + _index: null, + _queued_query: null, + _pulse_status: -1, + + htmlToText: (htmlString, anchor) => { + const htmlElement = new DOMParser().parseFromString(htmlString, 'text/html'); + for (const removalQuery of [".headerlink", "script", "style"]) { + htmlElement.querySelectorAll(removalQuery).forEach((el) => { el.remove() }); + } + if (anchor) { + const anchorContent = htmlElement.querySelector(`[role="main"] ${anchor}`); + if (anchorContent) return anchorContent.textContent; + + console.warn( + `Anchored content block not found. Sphinx search tries to obtain it via DOM query '[role=main] ${anchor}'. Check your theme or template.` + ); + } + + // if anchor not specified or not found, fall back to main content + const docContent = htmlElement.querySelector('[role="main"]'); + if (docContent) return docContent.textContent; + + console.warn( + "Content block not found. Sphinx search tries to obtain it via DOM query '[role=main]'. Check your theme or template." + ); + return ""; + }, + + init: () => { + const query = new URLSearchParams(window.location.search).get("q"); + document + .querySelectorAll('input[name="q"]') + .forEach((el) => (el.value = query)); + if (query) Search.performSearch(query); + }, + + loadIndex: (url) => + (document.body.appendChild(document.createElement("script")).src = url), + + setIndex: (index) => { + Search._index = index; + if (Search._queued_query !== null) { + const query = Search._queued_query; + Search._queued_query = null; + Search.query(query); + } + }, + + hasIndex: () => Search._index !== null, + + deferQuery: (query) => (Search._queued_query = query), + + stopPulse: () => (Search._pulse_status = -1), + + startPulse: () => { + if (Search._pulse_status >= 0) return; + + const pulse = () => { + Search._pulse_status = (Search._pulse_status + 1) % 4; + Search.dots.innerText = ".".repeat(Search._pulse_status); + if (Search._pulse_status >= 0) window.setTimeout(pulse, 500); + }; + pulse(); + }, + + /** + * perform a search for something (or wait until index is loaded) + */ + performSearch: (query) => { + // create the required interface elements + const searchText = document.createElement("h2"); + searchText.textContent = _("Searching"); + const searchSummary = document.createElement("p"); + searchSummary.classList.add("search-summary"); + searchSummary.innerText = ""; + const searchList = document.createElement("ul"); + searchList.classList.add("search"); + + const out = document.getElementById("search-results"); + Search.title = out.appendChild(searchText); + Search.dots = Search.title.appendChild(document.createElement("span")); + Search.status = out.appendChild(searchSummary); + Search.output = out.appendChild(searchList); + + const searchProgress = document.getElementById("search-progress"); + // Some themes don't use the search progress node + if (searchProgress) { + searchProgress.innerText = _("Preparing search..."); + } + Search.startPulse(); + + // index already loaded, the browser was quick! + if (Search.hasIndex()) Search.query(query); + else Search.deferQuery(query); + }, + + _parseQuery: (query) => { + // stem the search terms and add them to the correct list + const stemmer = new Stemmer(); + const searchTerms = new Set(); + const excludedTerms = new Set(); + const highlightTerms = new Set(); + const objectTerms = new Set(splitQuery(query.toLowerCase().trim())); + splitQuery(query.trim()).forEach((queryTerm) => { + const queryTermLower = queryTerm.toLowerCase(); + + // maybe skip this "word" + // stopwords array is from language_data.js + if ( + stopwords.indexOf(queryTermLower) !== -1 || + queryTerm.match(/^\d+$/) + ) + return; + + // stem the word + let word = stemmer.stemWord(queryTermLower); + // select the correct list + if (word[0] === "-") excludedTerms.add(word.substr(1)); + else { + searchTerms.add(word); + highlightTerms.add(queryTermLower); + } + }); + + if (SPHINX_HIGHLIGHT_ENABLED) { // set in sphinx_highlight.js + localStorage.setItem("sphinx_highlight_terms", [...highlightTerms].join(" ")) + } + + // console.debug("SEARCH: searching for:"); + // console.info("required: ", [...searchTerms]); + // console.info("excluded: ", [...excludedTerms]); + + return [query, searchTerms, excludedTerms, highlightTerms, objectTerms]; + }, + + /** + * execute search (requires search index to be loaded) + */ + _performSearch: (query, searchTerms, excludedTerms, highlightTerms, objectTerms) => { + const filenames = Search._index.filenames; + const docNames = Search._index.docnames; + const titles = Search._index.titles; + const allTitles = Search._index.alltitles; + const indexEntries = Search._index.indexentries; + + // Collect multiple result groups to be sorted separately and then ordered. + // Each is an array of [docname, title, anchor, descr, score, filename]. + const normalResults = []; + const nonMainIndexResults = []; + + _removeChildren(document.getElementById("search-progress")); + + const queryLower = query.toLowerCase().trim(); + for (const [title, foundTitles] of Object.entries(allTitles)) { + if (title.toLowerCase().trim().includes(queryLower) && (queryLower.length >= title.length/2)) { + for (const [file, id] of foundTitles) { + const score = Math.round(Scorer.title * queryLower.length / title.length); + const boost = titles[file] === title ? 1 : 0; // add a boost for document titles + normalResults.push([ + docNames[file], + titles[file] !== title ? `${titles[file]} > ${title}` : title, + id !== null ? "#" + id : "", + null, + score + boost, + filenames[file], + ]); + } + } + } + + // search for explicit entries in index directives + for (const [entry, foundEntries] of Object.entries(indexEntries)) { + if (entry.includes(queryLower) && (queryLower.length >= entry.length/2)) { + for (const [file, id, isMain] of foundEntries) { + const score = Math.round(100 * queryLower.length / entry.length); + const result = [ + docNames[file], + titles[file], + id ? "#" + id : "", + null, + score, + filenames[file], + ]; + if (isMain) { + normalResults.push(result); + } else { + nonMainIndexResults.push(result); + } + } + } + } + + // lookup as object + objectTerms.forEach((term) => + normalResults.push(...Search.performObjectSearch(term, objectTerms)) + ); + + // lookup as search terms in fulltext + normalResults.push(...Search.performTermsSearch(searchTerms, excludedTerms)); + + // let the scorer override scores with a custom scoring function + if (Scorer.score) { + normalResults.forEach((item) => (item[4] = Scorer.score(item))); + nonMainIndexResults.forEach((item) => (item[4] = Scorer.score(item))); + } + + // Sort each group of results by score and then alphabetically by name. + normalResults.sort(_orderResultsByScoreThenName); + nonMainIndexResults.sort(_orderResultsByScoreThenName); + + // Combine the result groups in (reverse) order. + // Non-main index entries are typically arbitrary cross-references, + // so display them after other results. + let results = [...nonMainIndexResults, ...normalResults]; + + // remove duplicate search results + // note the reversing of results, so that in the case of duplicates, the highest-scoring entry is kept + let seen = new Set(); + results = results.reverse().reduce((acc, result) => { + let resultStr = result.slice(0, 4).concat([result[5]]).map(v => String(v)).join(','); + if (!seen.has(resultStr)) { + acc.push(result); + seen.add(resultStr); + } + return acc; + }, []); + + return results.reverse(); + }, + + query: (query) => { + const [searchQuery, searchTerms, excludedTerms, highlightTerms, objectTerms] = Search._parseQuery(query); + const results = Search._performSearch(searchQuery, searchTerms, excludedTerms, highlightTerms, objectTerms); + + // for debugging + //Search.lastresults = results.slice(); // a copy + // console.info("search results:", Search.lastresults); + + // print the results + _displayNextItem(results, results.length, searchTerms, highlightTerms); + }, + + /** + * search for object names + */ + performObjectSearch: (object, objectTerms) => { + const filenames = Search._index.filenames; + const docNames = Search._index.docnames; + const objects = Search._index.objects; + const objNames = Search._index.objnames; + const titles = Search._index.titles; + + const results = []; + + const objectSearchCallback = (prefix, match) => { + const name = match[4] + const fullname = (prefix ? prefix + "." : "") + name; + const fullnameLower = fullname.toLowerCase(); + if (fullnameLower.indexOf(object) < 0) return; + + let score = 0; + const parts = fullnameLower.split("."); + + // check for different match types: exact matches of full name or + // "last name" (i.e. last dotted part) + if (fullnameLower === object || parts.slice(-1)[0] === object) + score += Scorer.objNameMatch; + else if (parts.slice(-1)[0].indexOf(object) > -1) + score += Scorer.objPartialMatch; // matches in last name + + const objName = objNames[match[1]][2]; + const title = titles[match[0]]; + + // If more than one term searched for, we require other words to be + // found in the name/title/description + const otherTerms = new Set(objectTerms); + otherTerms.delete(object); + if (otherTerms.size > 0) { + const haystack = `${prefix} ${name} ${objName} ${title}`.toLowerCase(); + if ( + [...otherTerms].some((otherTerm) => haystack.indexOf(otherTerm) < 0) + ) + return; + } + + let anchor = match[3]; + if (anchor === "") anchor = fullname; + else if (anchor === "-") anchor = objNames[match[1]][1] + "-" + fullname; + + const descr = objName + _(", in ") + title; + + // add custom score for some objects according to scorer + if (Scorer.objPrio.hasOwnProperty(match[2])) + score += Scorer.objPrio[match[2]]; + else score += Scorer.objPrioDefault; + + results.push([ + docNames[match[0]], + fullname, + "#" + anchor, + descr, + score, + filenames[match[0]], + ]); + }; + Object.keys(objects).forEach((prefix) => + objects[prefix].forEach((array) => + objectSearchCallback(prefix, array) + ) + ); + return results; + }, + + /** + * search for full-text terms in the index + */ + performTermsSearch: (searchTerms, excludedTerms) => { + // prepare search + const terms = Search._index.terms; + const titleTerms = Search._index.titleterms; + const filenames = Search._index.filenames; + const docNames = Search._index.docnames; + const titles = Search._index.titles; + + const scoreMap = new Map(); + const fileMap = new Map(); + + // perform the search on the required terms + searchTerms.forEach((word) => { + const files = []; + const arr = [ + { files: terms[word], score: Scorer.term }, + { files: titleTerms[word], score: Scorer.title }, + ]; + // add support for partial matches + if (word.length > 2) { + const escapedWord = _escapeRegExp(word); + if (!terms.hasOwnProperty(word)) { + Object.keys(terms).forEach((term) => { + if (term.match(escapedWord)) + arr.push({ files: terms[term], score: Scorer.partialTerm }); + }); + } + if (!titleTerms.hasOwnProperty(word)) { + Object.keys(titleTerms).forEach((term) => { + if (term.match(escapedWord)) + arr.push({ files: titleTerms[term], score: Scorer.partialTitle }); + }); + } + } + + // no match but word was a required one + if (arr.every((record) => record.files === undefined)) return; + + // found search word in contents + arr.forEach((record) => { + if (record.files === undefined) return; + + let recordFiles = record.files; + if (recordFiles.length === undefined) recordFiles = [recordFiles]; + files.push(...recordFiles); + + // set score for the word in each file + recordFiles.forEach((file) => { + if (!scoreMap.has(file)) scoreMap.set(file, {}); + scoreMap.get(file)[word] = record.score; + }); + }); + + // create the mapping + files.forEach((file) => { + if (!fileMap.has(file)) fileMap.set(file, [word]); + else if (fileMap.get(file).indexOf(word) === -1) fileMap.get(file).push(word); + }); + }); + + // now check if the files don't contain excluded terms + const results = []; + for (const [file, wordList] of fileMap) { + // check if all requirements are matched + + // as search terms with length < 3 are discarded + const filteredTermCount = [...searchTerms].filter( + (term) => term.length > 2 + ).length; + if ( + wordList.length !== searchTerms.size && + wordList.length !== filteredTermCount + ) + continue; + + // ensure that none of the excluded terms is in the search result + if ( + [...excludedTerms].some( + (term) => + terms[term] === file || + titleTerms[term] === file || + (terms[term] || []).includes(file) || + (titleTerms[term] || []).includes(file) + ) + ) + break; + + // select one (max) score for the file. + const score = Math.max(...wordList.map((w) => scoreMap.get(file)[w])); + // add result to the result list + results.push([ + docNames[file], + titles[file], + "", + null, + score, + filenames[file], + ]); + } + return results; + }, + + /** + * helper function to return a node containing the + * search summary for a given text. keywords is a list + * of stemmed words. + */ + makeSearchSummary: (htmlText, keywords, anchor) => { + const text = Search.htmlToText(htmlText, anchor); + if (text === "") return null; + + const textLower = text.toLowerCase(); + const actualStartPosition = [...keywords] + .map((k) => textLower.indexOf(k.toLowerCase())) + .filter((i) => i > -1) + .slice(-1)[0]; + const startWithContext = Math.max(actualStartPosition - 120, 0); + + const top = startWithContext === 0 ? "" : "..."; + const tail = startWithContext + 240 < text.length ? "..." : ""; + + let summary = document.createElement("p"); + summary.classList.add("context"); + summary.textContent = top + text.substr(startWithContext, 240).trim() + tail; + + return summary; + }, +}; + +_ready(Search.init); diff --git a/_static/sphinx-design.min.css b/_static/sphinx-design.min.css new file mode 100644 index 000000000..860c36da0 --- /dev/null +++ b/_static/sphinx-design.min.css @@ -0,0 +1 @@ +.sd-bg-primary{background-color:var(--sd-color-primary) !important}.sd-bg-text-primary{color:var(--sd-color-primary-text) !important}button.sd-bg-primary:focus,button.sd-bg-primary:hover{background-color:var(--sd-color-primary-highlight) !important}a.sd-bg-primary:focus,a.sd-bg-primary:hover{background-color:var(--sd-color-primary-highlight) !important}.sd-bg-secondary{background-color:var(--sd-color-secondary) !important}.sd-bg-text-secondary{color:var(--sd-color-secondary-text) !important}button.sd-bg-secondary:focus,button.sd-bg-secondary:hover{background-color:var(--sd-color-secondary-highlight) !important}a.sd-bg-secondary:focus,a.sd-bg-secondary:hover{background-color:var(--sd-color-secondary-highlight) !important}.sd-bg-success{background-color:var(--sd-color-success) !important}.sd-bg-text-success{color:var(--sd-color-success-text) !important}button.sd-bg-success:focus,button.sd-bg-success:hover{background-color:var(--sd-color-success-highlight) !important}a.sd-bg-success:focus,a.sd-bg-success:hover{background-color:var(--sd-color-success-highlight) !important}.sd-bg-info{background-color:var(--sd-color-info) !important}.sd-bg-text-info{color:var(--sd-color-info-text) !important}button.sd-bg-info:focus,button.sd-bg-info:hover{background-color:var(--sd-color-info-highlight) !important}a.sd-bg-info:focus,a.sd-bg-info:hover{background-color:var(--sd-color-info-highlight) !important}.sd-bg-warning{background-color:var(--sd-color-warning) !important}.sd-bg-text-warning{color:var(--sd-color-warning-text) !important}button.sd-bg-warning:focus,button.sd-bg-warning:hover{background-color:var(--sd-color-warning-highlight) !important}a.sd-bg-warning:focus,a.sd-bg-warning:hover{background-color:var(--sd-color-warning-highlight) !important}.sd-bg-danger{background-color:var(--sd-color-danger) !important}.sd-bg-text-danger{color:var(--sd-color-danger-text) !important}button.sd-bg-danger:focus,button.sd-bg-danger:hover{background-color:var(--sd-color-danger-highlight) !important}a.sd-bg-danger:focus,a.sd-bg-danger:hover{background-color:var(--sd-color-danger-highlight) !important}.sd-bg-light{background-color:var(--sd-color-light) !important}.sd-bg-text-light{color:var(--sd-color-light-text) !important}button.sd-bg-light:focus,button.sd-bg-light:hover{background-color:var(--sd-color-light-highlight) !important}a.sd-bg-light:focus,a.sd-bg-light:hover{background-color:var(--sd-color-light-highlight) !important}.sd-bg-muted{background-color:var(--sd-color-muted) !important}.sd-bg-text-muted{color:var(--sd-color-muted-text) !important}button.sd-bg-muted:focus,button.sd-bg-muted:hover{background-color:var(--sd-color-muted-highlight) !important}a.sd-bg-muted:focus,a.sd-bg-muted:hover{background-color:var(--sd-color-muted-highlight) !important}.sd-bg-dark{background-color:var(--sd-color-dark) !important}.sd-bg-text-dark{color:var(--sd-color-dark-text) !important}button.sd-bg-dark:focus,button.sd-bg-dark:hover{background-color:var(--sd-color-dark-highlight) !important}a.sd-bg-dark:focus,a.sd-bg-dark:hover{background-color:var(--sd-color-dark-highlight) !important}.sd-bg-black{background-color:var(--sd-color-black) !important}.sd-bg-text-black{color:var(--sd-color-black-text) !important}button.sd-bg-black:focus,button.sd-bg-black:hover{background-color:var(--sd-color-black-highlight) !important}a.sd-bg-black:focus,a.sd-bg-black:hover{background-color:var(--sd-color-black-highlight) !important}.sd-bg-white{background-color:var(--sd-color-white) !important}.sd-bg-text-white{color:var(--sd-color-white-text) !important}button.sd-bg-white:focus,button.sd-bg-white:hover{background-color:var(--sd-color-white-highlight) !important}a.sd-bg-white:focus,a.sd-bg-white:hover{background-color:var(--sd-color-white-highlight) !important}.sd-text-primary,.sd-text-primary>p{color:var(--sd-color-primary) !important}a.sd-text-primary:focus,a.sd-text-primary:hover{color:var(--sd-color-primary-highlight) !important}.sd-text-secondary,.sd-text-secondary>p{color:var(--sd-color-secondary) !important}a.sd-text-secondary:focus,a.sd-text-secondary:hover{color:var(--sd-color-secondary-highlight) !important}.sd-text-success,.sd-text-success>p{color:var(--sd-color-success) !important}a.sd-text-success:focus,a.sd-text-success:hover{color:var(--sd-color-success-highlight) !important}.sd-text-info,.sd-text-info>p{color:var(--sd-color-info) !important}a.sd-text-info:focus,a.sd-text-info:hover{color:var(--sd-color-info-highlight) !important}.sd-text-warning,.sd-text-warning>p{color:var(--sd-color-warning) !important}a.sd-text-warning:focus,a.sd-text-warning:hover{color:var(--sd-color-warning-highlight) !important}.sd-text-danger,.sd-text-danger>p{color:var(--sd-color-danger) !important}a.sd-text-danger:focus,a.sd-text-danger:hover{color:var(--sd-color-danger-highlight) !important}.sd-text-light,.sd-text-light>p{color:var(--sd-color-light) !important}a.sd-text-light:focus,a.sd-text-light:hover{color:var(--sd-color-light-highlight) !important}.sd-text-muted,.sd-text-muted>p{color:var(--sd-color-muted) !important}a.sd-text-muted:focus,a.sd-text-muted:hover{color:var(--sd-color-muted-highlight) !important}.sd-text-dark,.sd-text-dark>p{color:var(--sd-color-dark) !important}a.sd-text-dark:focus,a.sd-text-dark:hover{color:var(--sd-color-dark-highlight) !important}.sd-text-black,.sd-text-black>p{color:var(--sd-color-black) !important}a.sd-text-black:focus,a.sd-text-black:hover{color:var(--sd-color-black-highlight) !important}.sd-text-white,.sd-text-white>p{color:var(--sd-color-white) !important}a.sd-text-white:focus,a.sd-text-white:hover{color:var(--sd-color-white-highlight) !important}.sd-outline-primary{border-color:var(--sd-color-primary) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-primary:focus,a.sd-outline-primary:hover{border-color:var(--sd-color-primary-highlight) !important}.sd-outline-secondary{border-color:var(--sd-color-secondary) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-secondary:focus,a.sd-outline-secondary:hover{border-color:var(--sd-color-secondary-highlight) !important}.sd-outline-success{border-color:var(--sd-color-success) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-success:focus,a.sd-outline-success:hover{border-color:var(--sd-color-success-highlight) !important}.sd-outline-info{border-color:var(--sd-color-info) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-info:focus,a.sd-outline-info:hover{border-color:var(--sd-color-info-highlight) !important}.sd-outline-warning{border-color:var(--sd-color-warning) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-warning:focus,a.sd-outline-warning:hover{border-color:var(--sd-color-warning-highlight) !important}.sd-outline-danger{border-color:var(--sd-color-danger) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-danger:focus,a.sd-outline-danger:hover{border-color:var(--sd-color-danger-highlight) !important}.sd-outline-light{border-color:var(--sd-color-light) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-light:focus,a.sd-outline-light:hover{border-color:var(--sd-color-light-highlight) !important}.sd-outline-muted{border-color:var(--sd-color-muted) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-muted:focus,a.sd-outline-muted:hover{border-color:var(--sd-color-muted-highlight) !important}.sd-outline-dark{border-color:var(--sd-color-dark) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-dark:focus,a.sd-outline-dark:hover{border-color:var(--sd-color-dark-highlight) !important}.sd-outline-black{border-color:var(--sd-color-black) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-black:focus,a.sd-outline-black:hover{border-color:var(--sd-color-black-highlight) !important}.sd-outline-white{border-color:var(--sd-color-white) !important;border-style:solid !important;border-width:1px !important}a.sd-outline-white:focus,a.sd-outline-white:hover{border-color:var(--sd-color-white-highlight) !important}.sd-bg-transparent{background-color:transparent !important}.sd-outline-transparent{border-color:transparent !important}.sd-text-transparent{color:transparent !important}.sd-p-0{padding:0 !important}.sd-pt-0,.sd-py-0{padding-top:0 !important}.sd-pr-0,.sd-px-0{padding-right:0 !important}.sd-pb-0,.sd-py-0{padding-bottom:0 !important}.sd-pl-0,.sd-px-0{padding-left:0 !important}.sd-p-1{padding:.25rem !important}.sd-pt-1,.sd-py-1{padding-top:.25rem !important}.sd-pr-1,.sd-px-1{padding-right:.25rem !important}.sd-pb-1,.sd-py-1{padding-bottom:.25rem !important}.sd-pl-1,.sd-px-1{padding-left:.25rem !important}.sd-p-2{padding:.5rem !important}.sd-pt-2,.sd-py-2{padding-top:.5rem !important}.sd-pr-2,.sd-px-2{padding-right:.5rem !important}.sd-pb-2,.sd-py-2{padding-bottom:.5rem !important}.sd-pl-2,.sd-px-2{padding-left:.5rem !important}.sd-p-3{padding:1rem !important}.sd-pt-3,.sd-py-3{padding-top:1rem !important}.sd-pr-3,.sd-px-3{padding-right:1rem !important}.sd-pb-3,.sd-py-3{padding-bottom:1rem !important}.sd-pl-3,.sd-px-3{padding-left:1rem !important}.sd-p-4{padding:1.5rem !important}.sd-pt-4,.sd-py-4{padding-top:1.5rem !important}.sd-pr-4,.sd-px-4{padding-right:1.5rem !important}.sd-pb-4,.sd-py-4{padding-bottom:1.5rem !important}.sd-pl-4,.sd-px-4{padding-left:1.5rem !important}.sd-p-5{padding:3rem !important}.sd-pt-5,.sd-py-5{padding-top:3rem !important}.sd-pr-5,.sd-px-5{padding-right:3rem !important}.sd-pb-5,.sd-py-5{padding-bottom:3rem !important}.sd-pl-5,.sd-px-5{padding-left:3rem !important}.sd-m-auto{margin:auto !important}.sd-mt-auto,.sd-my-auto{margin-top:auto !important}.sd-mr-auto,.sd-mx-auto{margin-right:auto !important}.sd-mb-auto,.sd-my-auto{margin-bottom:auto !important}.sd-ml-auto,.sd-mx-auto{margin-left:auto !important}.sd-m-0{margin:0 !important}.sd-mt-0,.sd-my-0{margin-top:0 !important}.sd-mr-0,.sd-mx-0{margin-right:0 !important}.sd-mb-0,.sd-my-0{margin-bottom:0 !important}.sd-ml-0,.sd-mx-0{margin-left:0 !important}.sd-m-1{margin:.25rem !important}.sd-mt-1,.sd-my-1{margin-top:.25rem !important}.sd-mr-1,.sd-mx-1{margin-right:.25rem !important}.sd-mb-1,.sd-my-1{margin-bottom:.25rem !important}.sd-ml-1,.sd-mx-1{margin-left:.25rem !important}.sd-m-2{margin:.5rem !important}.sd-mt-2,.sd-my-2{margin-top:.5rem !important}.sd-mr-2,.sd-mx-2{margin-right:.5rem !important}.sd-mb-2,.sd-my-2{margin-bottom:.5rem !important}.sd-ml-2,.sd-mx-2{margin-left:.5rem !important}.sd-m-3{margin:1rem !important}.sd-mt-3,.sd-my-3{margin-top:1rem !important}.sd-mr-3,.sd-mx-3{margin-right:1rem !important}.sd-mb-3,.sd-my-3{margin-bottom:1rem !important}.sd-ml-3,.sd-mx-3{margin-left:1rem !important}.sd-m-4{margin:1.5rem !important}.sd-mt-4,.sd-my-4{margin-top:1.5rem !important}.sd-mr-4,.sd-mx-4{margin-right:1.5rem !important}.sd-mb-4,.sd-my-4{margin-bottom:1.5rem !important}.sd-ml-4,.sd-mx-4{margin-left:1.5rem !important}.sd-m-5{margin:3rem !important}.sd-mt-5,.sd-my-5{margin-top:3rem !important}.sd-mr-5,.sd-mx-5{margin-right:3rem !important}.sd-mb-5,.sd-my-5{margin-bottom:3rem !important}.sd-ml-5,.sd-mx-5{margin-left:3rem !important}.sd-w-25{width:25% !important}.sd-w-50{width:50% !important}.sd-w-75{width:75% !important}.sd-w-100{width:100% !important}.sd-w-auto{width:auto !important}.sd-h-25{height:25% !important}.sd-h-50{height:50% !important}.sd-h-75{height:75% !important}.sd-h-100{height:100% !important}.sd-h-auto{height:auto !important}.sd-d-none{display:none !important}.sd-d-inline{display:inline !important}.sd-d-inline-block{display:inline-block !important}.sd-d-block{display:block !important}.sd-d-grid{display:grid !important}.sd-d-flex-row{display:-ms-flexbox !important;display:flex !important;flex-direction:row !important}.sd-d-flex-column{display:-ms-flexbox !important;display:flex !important;flex-direction:column !important}.sd-d-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}@media(min-width: 576px){.sd-d-sm-none{display:none !important}.sd-d-sm-inline{display:inline !important}.sd-d-sm-inline-block{display:inline-block !important}.sd-d-sm-block{display:block !important}.sd-d-sm-grid{display:grid !important}.sd-d-sm-flex{display:-ms-flexbox !important;display:flex !important}.sd-d-sm-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}}@media(min-width: 768px){.sd-d-md-none{display:none !important}.sd-d-md-inline{display:inline !important}.sd-d-md-inline-block{display:inline-block !important}.sd-d-md-block{display:block !important}.sd-d-md-grid{display:grid !important}.sd-d-md-flex{display:-ms-flexbox !important;display:flex !important}.sd-d-md-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}}@media(min-width: 992px){.sd-d-lg-none{display:none !important}.sd-d-lg-inline{display:inline !important}.sd-d-lg-inline-block{display:inline-block !important}.sd-d-lg-block{display:block !important}.sd-d-lg-grid{display:grid !important}.sd-d-lg-flex{display:-ms-flexbox !important;display:flex !important}.sd-d-lg-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}}@media(min-width: 1200px){.sd-d-xl-none{display:none !important}.sd-d-xl-inline{display:inline !important}.sd-d-xl-inline-block{display:inline-block !important}.sd-d-xl-block{display:block !important}.sd-d-xl-grid{display:grid !important}.sd-d-xl-flex{display:-ms-flexbox !important;display:flex !important}.sd-d-xl-inline-flex{display:-ms-inline-flexbox !important;display:inline-flex !important}}.sd-align-major-start{justify-content:flex-start !important}.sd-align-major-end{justify-content:flex-end !important}.sd-align-major-center{justify-content:center !important}.sd-align-major-justify{justify-content:space-between !important}.sd-align-major-spaced{justify-content:space-evenly !important}.sd-align-minor-start{align-items:flex-start !important}.sd-align-minor-end{align-items:flex-end !important}.sd-align-minor-center{align-items:center !important}.sd-align-minor-stretch{align-items:stretch !important}.sd-text-justify{text-align:justify !important}.sd-text-left{text-align:left !important}.sd-text-right{text-align:right !important}.sd-text-center{text-align:center !important}.sd-font-weight-light{font-weight:300 !important}.sd-font-weight-lighter{font-weight:lighter !important}.sd-font-weight-normal{font-weight:400 !important}.sd-font-weight-bold{font-weight:700 !important}.sd-font-weight-bolder{font-weight:bolder !important}.sd-font-italic{font-style:italic !important}.sd-text-decoration-none{text-decoration:none !important}.sd-text-lowercase{text-transform:lowercase !important}.sd-text-uppercase{text-transform:uppercase !important}.sd-text-capitalize{text-transform:capitalize !important}.sd-text-wrap{white-space:normal !important}.sd-text-nowrap{white-space:nowrap !important}.sd-text-truncate{overflow:hidden;text-overflow:ellipsis;white-space:nowrap}.sd-fs-1,.sd-fs-1>p{font-size:calc(1.375rem + 1.5vw) !important;line-height:unset !important}.sd-fs-2,.sd-fs-2>p{font-size:calc(1.325rem + 0.9vw) !important;line-height:unset !important}.sd-fs-3,.sd-fs-3>p{font-size:calc(1.3rem + 0.6vw) !important;line-height:unset !important}.sd-fs-4,.sd-fs-4>p{font-size:calc(1.275rem + 0.3vw) !important;line-height:unset !important}.sd-fs-5,.sd-fs-5>p{font-size:1.25rem !important;line-height:unset !important}.sd-fs-6,.sd-fs-6>p{font-size:1rem !important;line-height:unset !important}.sd-border-0{border:0 solid !important}.sd-border-top-0{border-top:0 solid !important}.sd-border-bottom-0{border-bottom:0 solid !important}.sd-border-right-0{border-right:0 solid !important}.sd-border-left-0{border-left:0 solid !important}.sd-border-1{border:1px solid !important}.sd-border-top-1{border-top:1px solid !important}.sd-border-bottom-1{border-bottom:1px solid !important}.sd-border-right-1{border-right:1px solid !important}.sd-border-left-1{border-left:1px solid !important}.sd-border-2{border:2px solid !important}.sd-border-top-2{border-top:2px solid !important}.sd-border-bottom-2{border-bottom:2px solid !important}.sd-border-right-2{border-right:2px solid !important}.sd-border-left-2{border-left:2px solid !important}.sd-border-3{border:3px solid !important}.sd-border-top-3{border-top:3px solid !important}.sd-border-bottom-3{border-bottom:3px solid !important}.sd-border-right-3{border-right:3px solid !important}.sd-border-left-3{border-left:3px solid !important}.sd-border-4{border:4px solid !important}.sd-border-top-4{border-top:4px solid !important}.sd-border-bottom-4{border-bottom:4px solid !important}.sd-border-right-4{border-right:4px solid !important}.sd-border-left-4{border-left:4px solid !important}.sd-border-5{border:5px solid !important}.sd-border-top-5{border-top:5px solid !important}.sd-border-bottom-5{border-bottom:5px solid !important}.sd-border-right-5{border-right:5px solid !important}.sd-border-left-5{border-left:5px solid !important}.sd-rounded-0{border-radius:0 !important}.sd-rounded-1{border-radius:.2rem !important}.sd-rounded-2{border-radius:.3rem !important}.sd-rounded-3{border-radius:.5rem !important}.sd-rounded-pill{border-radius:50rem !important}.sd-rounded-circle{border-radius:50% !important}.shadow-none{box-shadow:none !important}.sd-shadow-sm{box-shadow:0 .125rem .25rem var(--sd-color-shadow) !important}.sd-shadow-md{box-shadow:0 .5rem 1rem var(--sd-color-shadow) !important}.sd-shadow-lg{box-shadow:0 1rem 3rem var(--sd-color-shadow) !important}@keyframes sd-slide-from-left{0%{transform:translateX(-100%)}100%{transform:translateX(0)}}@keyframes sd-slide-from-right{0%{transform:translateX(200%)}100%{transform:translateX(0)}}@keyframes sd-grow100{0%{transform:scale(0);opacity:.5}100%{transform:scale(1);opacity:1}}@keyframes sd-grow50{0%{transform:scale(0.5);opacity:.5}100%{transform:scale(1);opacity:1}}@keyframes sd-grow50-rot20{0%{transform:scale(0.5) rotateZ(-20deg);opacity:.5}75%{transform:scale(1) rotateZ(5deg);opacity:1}95%{transform:scale(1) rotateZ(-1deg);opacity:1}100%{transform:scale(1) rotateZ(0);opacity:1}}.sd-animate-slide-from-left{animation:1s ease-out 0s 1 normal none running sd-slide-from-left}.sd-animate-slide-from-right{animation:1s ease-out 0s 1 normal none running sd-slide-from-right}.sd-animate-grow100{animation:1s ease-out 0s 1 normal none running sd-grow100}.sd-animate-grow50{animation:1s ease-out 0s 1 normal none running sd-grow50}.sd-animate-grow50-rot20{animation:1s ease-out 0s 1 normal none running sd-grow50-rot20}.sd-badge{display:inline-block;padding:.35em .65em;font-size:.75em;font-weight:700;line-height:1;text-align:center;white-space:nowrap;vertical-align:baseline;border-radius:.25rem}.sd-badge:empty{display:none}a.sd-badge{text-decoration:none}.sd-btn .sd-badge{position:relative;top:-1px}.sd-btn{background-color:transparent;border:1px solid transparent;border-radius:.25rem;cursor:pointer;display:inline-block;font-weight:400;font-size:1rem;line-height:1.5;padding:.375rem .75rem;text-align:center;text-decoration:none;transition:color .15s ease-in-out,background-color .15s ease-in-out,border-color .15s ease-in-out,box-shadow .15s ease-in-out;vertical-align:middle;user-select:none;-moz-user-select:none;-ms-user-select:none;-webkit-user-select:none}.sd-btn:hover{text-decoration:none}@media(prefers-reduced-motion: reduce){.sd-btn{transition:none}}.sd-btn-primary,.sd-btn-outline-primary:hover,.sd-btn-outline-primary:focus{color:var(--sd-color-primary-text) !important;background-color:var(--sd-color-primary) !important;border-color:var(--sd-color-primary) !important;border-width:1px !important;border-style:solid !important}.sd-btn-primary:hover,.sd-btn-primary:focus{color:var(--sd-color-primary-text) !important;background-color:var(--sd-color-primary-highlight) !important;border-color:var(--sd-color-primary-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-primary{color:var(--sd-color-primary) !important;border-color:var(--sd-color-primary) !important;border-width:1px !important;border-style:solid !important}.sd-btn-secondary,.sd-btn-outline-secondary:hover,.sd-btn-outline-secondary:focus{color:var(--sd-color-secondary-text) !important;background-color:var(--sd-color-secondary) !important;border-color:var(--sd-color-secondary) !important;border-width:1px !important;border-style:solid !important}.sd-btn-secondary:hover,.sd-btn-secondary:focus{color:var(--sd-color-secondary-text) !important;background-color:var(--sd-color-secondary-highlight) !important;border-color:var(--sd-color-secondary-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-secondary{color:var(--sd-color-secondary) !important;border-color:var(--sd-color-secondary) !important;border-width:1px !important;border-style:solid !important}.sd-btn-success,.sd-btn-outline-success:hover,.sd-btn-outline-success:focus{color:var(--sd-color-success-text) !important;background-color:var(--sd-color-success) !important;border-color:var(--sd-color-success) !important;border-width:1px !important;border-style:solid !important}.sd-btn-success:hover,.sd-btn-success:focus{color:var(--sd-color-success-text) !important;background-color:var(--sd-color-success-highlight) !important;border-color:var(--sd-color-success-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-success{color:var(--sd-color-success) !important;border-color:var(--sd-color-success) !important;border-width:1px !important;border-style:solid !important}.sd-btn-info,.sd-btn-outline-info:hover,.sd-btn-outline-info:focus{color:var(--sd-color-info-text) !important;background-color:var(--sd-color-info) !important;border-color:var(--sd-color-info) !important;border-width:1px !important;border-style:solid !important}.sd-btn-info:hover,.sd-btn-info:focus{color:var(--sd-color-info-text) !important;background-color:var(--sd-color-info-highlight) !important;border-color:var(--sd-color-info-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-info{color:var(--sd-color-info) !important;border-color:var(--sd-color-info) !important;border-width:1px !important;border-style:solid !important}.sd-btn-warning,.sd-btn-outline-warning:hover,.sd-btn-outline-warning:focus{color:var(--sd-color-warning-text) !important;background-color:var(--sd-color-warning) !important;border-color:var(--sd-color-warning) !important;border-width:1px !important;border-style:solid !important}.sd-btn-warning:hover,.sd-btn-warning:focus{color:var(--sd-color-warning-text) !important;background-color:var(--sd-color-warning-highlight) !important;border-color:var(--sd-color-warning-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-warning{color:var(--sd-color-warning) !important;border-color:var(--sd-color-warning) !important;border-width:1px !important;border-style:solid !important}.sd-btn-danger,.sd-btn-outline-danger:hover,.sd-btn-outline-danger:focus{color:var(--sd-color-danger-text) !important;background-color:var(--sd-color-danger) !important;border-color:var(--sd-color-danger) !important;border-width:1px !important;border-style:solid !important}.sd-btn-danger:hover,.sd-btn-danger:focus{color:var(--sd-color-danger-text) !important;background-color:var(--sd-color-danger-highlight) !important;border-color:var(--sd-color-danger-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-danger{color:var(--sd-color-danger) !important;border-color:var(--sd-color-danger) !important;border-width:1px !important;border-style:solid !important}.sd-btn-light,.sd-btn-outline-light:hover,.sd-btn-outline-light:focus{color:var(--sd-color-light-text) !important;background-color:var(--sd-color-light) !important;border-color:var(--sd-color-light) !important;border-width:1px !important;border-style:solid !important}.sd-btn-light:hover,.sd-btn-light:focus{color:var(--sd-color-light-text) !important;background-color:var(--sd-color-light-highlight) !important;border-color:var(--sd-color-light-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-light{color:var(--sd-color-light) !important;border-color:var(--sd-color-light) !important;border-width:1px !important;border-style:solid !important}.sd-btn-muted,.sd-btn-outline-muted:hover,.sd-btn-outline-muted:focus{color:var(--sd-color-muted-text) !important;background-color:var(--sd-color-muted) !important;border-color:var(--sd-color-muted) !important;border-width:1px !important;border-style:solid !important}.sd-btn-muted:hover,.sd-btn-muted:focus{color:var(--sd-color-muted-text) !important;background-color:var(--sd-color-muted-highlight) !important;border-color:var(--sd-color-muted-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-muted{color:var(--sd-color-muted) !important;border-color:var(--sd-color-muted) !important;border-width:1px !important;border-style:solid !important}.sd-btn-dark,.sd-btn-outline-dark:hover,.sd-btn-outline-dark:focus{color:var(--sd-color-dark-text) !important;background-color:var(--sd-color-dark) !important;border-color:var(--sd-color-dark) !important;border-width:1px !important;border-style:solid !important}.sd-btn-dark:hover,.sd-btn-dark:focus{color:var(--sd-color-dark-text) !important;background-color:var(--sd-color-dark-highlight) !important;border-color:var(--sd-color-dark-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-dark{color:var(--sd-color-dark) !important;border-color:var(--sd-color-dark) !important;border-width:1px !important;border-style:solid !important}.sd-btn-black,.sd-btn-outline-black:hover,.sd-btn-outline-black:focus{color:var(--sd-color-black-text) !important;background-color:var(--sd-color-black) !important;border-color:var(--sd-color-black) !important;border-width:1px !important;border-style:solid !important}.sd-btn-black:hover,.sd-btn-black:focus{color:var(--sd-color-black-text) !important;background-color:var(--sd-color-black-highlight) !important;border-color:var(--sd-color-black-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-black{color:var(--sd-color-black) !important;border-color:var(--sd-color-black) !important;border-width:1px !important;border-style:solid !important}.sd-btn-white,.sd-btn-outline-white:hover,.sd-btn-outline-white:focus{color:var(--sd-color-white-text) !important;background-color:var(--sd-color-white) !important;border-color:var(--sd-color-white) !important;border-width:1px !important;border-style:solid !important}.sd-btn-white:hover,.sd-btn-white:focus{color:var(--sd-color-white-text) !important;background-color:var(--sd-color-white-highlight) !important;border-color:var(--sd-color-white-highlight) !important;border-width:1px !important;border-style:solid !important}.sd-btn-outline-white{color:var(--sd-color-white) !important;border-color:var(--sd-color-white) !important;border-width:1px !important;border-style:solid !important}.sd-stretched-link::after{position:absolute;top:0;right:0;bottom:0;left:0;z-index:1;content:""}.sd-hide-link-text{font-size:0}.sd-octicon,.sd-material-icon{display:inline-block;fill:currentColor;vertical-align:middle}.sd-avatar-xs{border-radius:50%;object-fit:cover;object-position:center;width:1rem;height:1rem}.sd-avatar-sm{border-radius:50%;object-fit:cover;object-position:center;width:3rem;height:3rem}.sd-avatar-md{border-radius:50%;object-fit:cover;object-position:center;width:5rem;height:5rem}.sd-avatar-lg{border-radius:50%;object-fit:cover;object-position:center;width:7rem;height:7rem}.sd-avatar-xl{border-radius:50%;object-fit:cover;object-position:center;width:10rem;height:10rem}.sd-avatar-inherit{border-radius:50%;object-fit:cover;object-position:center;width:inherit;height:inherit}.sd-avatar-initial{border-radius:50%;object-fit:cover;object-position:center;width:initial;height:initial}.sd-card{background-clip:border-box;background-color:var(--sd-color-card-background);border:1px solid var(--sd-color-card-border);border-radius:.25rem;color:var(--sd-color-card-text);display:-ms-flexbox;display:flex;-ms-flex-direction:column;flex-direction:column;min-width:0;position:relative;word-wrap:break-word}.sd-card>hr{margin-left:0;margin-right:0}.sd-card-hover:hover{border-color:var(--sd-color-card-border-hover);transform:scale(1.01)}.sd-card-body{-ms-flex:1 1 auto;flex:1 1 auto;padding:1rem 1rem}.sd-card-title{margin-bottom:.5rem}.sd-card-subtitle{margin-top:-0.25rem;margin-bottom:0}.sd-card-text:last-child{margin-bottom:0}.sd-card-link:hover{text-decoration:none}.sd-card-link+.card-link{margin-left:1rem}.sd-card-header{padding:.5rem 1rem;margin-bottom:0;background-color:var(--sd-color-card-header);border-bottom:1px solid var(--sd-color-card-border)}.sd-card-header:first-child{border-radius:calc(0.25rem - 1px) calc(0.25rem - 1px) 0 0}.sd-card-footer{padding:.5rem 1rem;background-color:var(--sd-color-card-footer);border-top:1px solid var(--sd-color-card-border)}.sd-card-footer:last-child{border-radius:0 0 calc(0.25rem - 1px) calc(0.25rem - 1px)}.sd-card-header-tabs{margin-right:-0.5rem;margin-bottom:-0.5rem;margin-left:-0.5rem;border-bottom:0}.sd-card-header-pills{margin-right:-0.5rem;margin-left:-0.5rem}.sd-card-img-overlay{position:absolute;top:0;right:0;bottom:0;left:0;padding:1rem;border-radius:calc(0.25rem - 1px)}.sd-card-img,.sd-card-img-bottom,.sd-card-img-top{width:100%}.sd-card-img,.sd-card-img-top{border-top-left-radius:calc(0.25rem - 1px);border-top-right-radius:calc(0.25rem - 1px)}.sd-card-img,.sd-card-img-bottom{border-bottom-left-radius:calc(0.25rem - 1px);border-bottom-right-radius:calc(0.25rem - 1px)}.sd-cards-carousel{width:100%;display:flex;flex-wrap:nowrap;-ms-flex-direction:row;flex-direction:row;overflow-x:hidden;scroll-snap-type:x mandatory}.sd-cards-carousel.sd-show-scrollbar{overflow-x:auto}.sd-cards-carousel:hover,.sd-cards-carousel:focus{overflow-x:auto}.sd-cards-carousel>.sd-card{flex-shrink:0;scroll-snap-align:start}.sd-cards-carousel>.sd-card:not(:last-child){margin-right:3px}.sd-card-cols-1>.sd-card{width:90%}.sd-card-cols-2>.sd-card{width:45%}.sd-card-cols-3>.sd-card{width:30%}.sd-card-cols-4>.sd-card{width:22.5%}.sd-card-cols-5>.sd-card{width:18%}.sd-card-cols-6>.sd-card{width:15%}.sd-card-cols-7>.sd-card{width:12.8571428571%}.sd-card-cols-8>.sd-card{width:11.25%}.sd-card-cols-9>.sd-card{width:10%}.sd-card-cols-10>.sd-card{width:9%}.sd-card-cols-11>.sd-card{width:8.1818181818%}.sd-card-cols-12>.sd-card{width:7.5%}.sd-container,.sd-container-fluid,.sd-container-lg,.sd-container-md,.sd-container-sm,.sd-container-xl{margin-left:auto;margin-right:auto;padding-left:var(--sd-gutter-x, 0.75rem);padding-right:var(--sd-gutter-x, 0.75rem);width:100%}@media(min-width: 576px){.sd-container-sm,.sd-container{max-width:540px}}@media(min-width: 768px){.sd-container-md,.sd-container-sm,.sd-container{max-width:720px}}@media(min-width: 992px){.sd-container-lg,.sd-container-md,.sd-container-sm,.sd-container{max-width:960px}}@media(min-width: 1200px){.sd-container-xl,.sd-container-lg,.sd-container-md,.sd-container-sm,.sd-container{max-width:1140px}}.sd-row{--sd-gutter-x: 1.5rem;--sd-gutter-y: 0;display:-ms-flexbox;display:flex;-ms-flex-wrap:wrap;flex-wrap:wrap;margin-top:calc(var(--sd-gutter-y) * -1);margin-right:calc(var(--sd-gutter-x) * -0.5);margin-left:calc(var(--sd-gutter-x) * -0.5)}.sd-row>*{box-sizing:border-box;flex-shrink:0;width:100%;max-width:100%;padding-right:calc(var(--sd-gutter-x) * 0.5);padding-left:calc(var(--sd-gutter-x) * 0.5);margin-top:var(--sd-gutter-y)}.sd-col{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-auto>*{flex:0 0 auto;width:auto}.sd-row-cols-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}@media(min-width: 576px){.sd-col-sm{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-sm-auto{flex:1 0 auto;-ms-flex:1 0 auto;width:100%}.sd-row-cols-sm-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-sm-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-sm-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-sm-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-sm-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-sm-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-sm-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-sm-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-sm-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-sm-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-sm-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-sm-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}}@media(min-width: 768px){.sd-col-md{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-md-auto{flex:1 0 auto;-ms-flex:1 0 auto;width:100%}.sd-row-cols-md-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-md-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-md-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-md-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-md-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-md-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-md-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-md-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-md-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-md-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-md-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-md-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}}@media(min-width: 992px){.sd-col-lg{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-lg-auto{flex:1 0 auto;-ms-flex:1 0 auto;width:100%}.sd-row-cols-lg-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-lg-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-lg-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-lg-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-lg-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-lg-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-lg-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-lg-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-lg-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-lg-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-lg-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-lg-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}}@media(min-width: 1200px){.sd-col-xl{flex:1 0 0%;-ms-flex:1 0 0%}.sd-row-cols-xl-auto{flex:1 0 auto;-ms-flex:1 0 auto;width:100%}.sd-row-cols-xl-1>*{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-row-cols-xl-2>*{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-row-cols-xl-3>*{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-row-cols-xl-4>*{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-row-cols-xl-5>*{flex:0 0 auto;-ms-flex:0 0 auto;width:20%}.sd-row-cols-xl-6>*{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-row-cols-xl-7>*{flex:0 0 auto;-ms-flex:0 0 auto;width:14.2857142857%}.sd-row-cols-xl-8>*{flex:0 0 auto;-ms-flex:0 0 auto;width:12.5%}.sd-row-cols-xl-9>*{flex:0 0 auto;-ms-flex:0 0 auto;width:11.1111111111%}.sd-row-cols-xl-10>*{flex:0 0 auto;-ms-flex:0 0 auto;width:10%}.sd-row-cols-xl-11>*{flex:0 0 auto;-ms-flex:0 0 auto;width:9.0909090909%}.sd-row-cols-xl-12>*{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}}.sd-col-auto{flex:0 0 auto;-ms-flex:0 0 auto;width:auto}.sd-col-1{flex:0 0 auto;-ms-flex:0 0 auto;width:8.3333333333%}.sd-col-2{flex:0 0 auto;-ms-flex:0 0 auto;width:16.6666666667%}.sd-col-3{flex:0 0 auto;-ms-flex:0 0 auto;width:25%}.sd-col-4{flex:0 0 auto;-ms-flex:0 0 auto;width:33.3333333333%}.sd-col-5{flex:0 0 auto;-ms-flex:0 0 auto;width:41.6666666667%}.sd-col-6{flex:0 0 auto;-ms-flex:0 0 auto;width:50%}.sd-col-7{flex:0 0 auto;-ms-flex:0 0 auto;width:58.3333333333%}.sd-col-8{flex:0 0 auto;-ms-flex:0 0 auto;width:66.6666666667%}.sd-col-9{flex:0 0 auto;-ms-flex:0 0 auto;width:75%}.sd-col-10{flex:0 0 auto;-ms-flex:0 0 auto;width:83.3333333333%}.sd-col-11{flex:0 0 auto;-ms-flex:0 0 auto;width:91.6666666667%}.sd-col-12{flex:0 0 auto;-ms-flex:0 0 auto;width:100%}.sd-g-0,.sd-gy-0{--sd-gutter-y: 0}.sd-g-0,.sd-gx-0{--sd-gutter-x: 0}.sd-g-1,.sd-gy-1{--sd-gutter-y: 0.25rem}.sd-g-1,.sd-gx-1{--sd-gutter-x: 0.25rem}.sd-g-2,.sd-gy-2{--sd-gutter-y: 0.5rem}.sd-g-2,.sd-gx-2{--sd-gutter-x: 0.5rem}.sd-g-3,.sd-gy-3{--sd-gutter-y: 1rem}.sd-g-3,.sd-gx-3{--sd-gutter-x: 1rem}.sd-g-4,.sd-gy-4{--sd-gutter-y: 1.5rem}.sd-g-4,.sd-gx-4{--sd-gutter-x: 1.5rem}.sd-g-5,.sd-gy-5{--sd-gutter-y: 3rem}.sd-g-5,.sd-gx-5{--sd-gutter-x: 3rem}@media(min-width: 576px){.sd-col-sm-auto{-ms-flex:0 0 auto;flex:0 0 auto;width:auto}.sd-col-sm-1{-ms-flex:0 0 auto;flex:0 0 auto;width:8.3333333333%}.sd-col-sm-2{-ms-flex:0 0 auto;flex:0 0 auto;width:16.6666666667%}.sd-col-sm-3{-ms-flex:0 0 auto;flex:0 0 auto;width:25%}.sd-col-sm-4{-ms-flex:0 0 auto;flex:0 0 auto;width:33.3333333333%}.sd-col-sm-5{-ms-flex:0 0 auto;flex:0 0 auto;width:41.6666666667%}.sd-col-sm-6{-ms-flex:0 0 auto;flex:0 0 auto;width:50%}.sd-col-sm-7{-ms-flex:0 0 auto;flex:0 0 auto;width:58.3333333333%}.sd-col-sm-8{-ms-flex:0 0 auto;flex:0 0 auto;width:66.6666666667%}.sd-col-sm-9{-ms-flex:0 0 auto;flex:0 0 auto;width:75%}.sd-col-sm-10{-ms-flex:0 0 auto;flex:0 0 auto;width:83.3333333333%}.sd-col-sm-11{-ms-flex:0 0 auto;flex:0 0 auto;width:91.6666666667%}.sd-col-sm-12{-ms-flex:0 0 auto;flex:0 0 auto;width:100%}.sd-g-sm-0,.sd-gy-sm-0{--sd-gutter-y: 0}.sd-g-sm-0,.sd-gx-sm-0{--sd-gutter-x: 0}.sd-g-sm-1,.sd-gy-sm-1{--sd-gutter-y: 0.25rem}.sd-g-sm-1,.sd-gx-sm-1{--sd-gutter-x: 0.25rem}.sd-g-sm-2,.sd-gy-sm-2{--sd-gutter-y: 0.5rem}.sd-g-sm-2,.sd-gx-sm-2{--sd-gutter-x: 0.5rem}.sd-g-sm-3,.sd-gy-sm-3{--sd-gutter-y: 1rem}.sd-g-sm-3,.sd-gx-sm-3{--sd-gutter-x: 1rem}.sd-g-sm-4,.sd-gy-sm-4{--sd-gutter-y: 1.5rem}.sd-g-sm-4,.sd-gx-sm-4{--sd-gutter-x: 1.5rem}.sd-g-sm-5,.sd-gy-sm-5{--sd-gutter-y: 3rem}.sd-g-sm-5,.sd-gx-sm-5{--sd-gutter-x: 3rem}}@media(min-width: 768px){.sd-col-md-auto{-ms-flex:0 0 auto;flex:0 0 auto;width:auto}.sd-col-md-1{-ms-flex:0 0 auto;flex:0 0 auto;width:8.3333333333%}.sd-col-md-2{-ms-flex:0 0 auto;flex:0 0 auto;width:16.6666666667%}.sd-col-md-3{-ms-flex:0 0 auto;flex:0 0 auto;width:25%}.sd-col-md-4{-ms-flex:0 0 auto;flex:0 0 auto;width:33.3333333333%}.sd-col-md-5{-ms-flex:0 0 auto;flex:0 0 auto;width:41.6666666667%}.sd-col-md-6{-ms-flex:0 0 auto;flex:0 0 auto;width:50%}.sd-col-md-7{-ms-flex:0 0 auto;flex:0 0 auto;width:58.3333333333%}.sd-col-md-8{-ms-flex:0 0 auto;flex:0 0 auto;width:66.6666666667%}.sd-col-md-9{-ms-flex:0 0 auto;flex:0 0 auto;width:75%}.sd-col-md-10{-ms-flex:0 0 auto;flex:0 0 auto;width:83.3333333333%}.sd-col-md-11{-ms-flex:0 0 auto;flex:0 0 auto;width:91.6666666667%}.sd-col-md-12{-ms-flex:0 0 auto;flex:0 0 auto;width:100%}.sd-g-md-0,.sd-gy-md-0{--sd-gutter-y: 0}.sd-g-md-0,.sd-gx-md-0{--sd-gutter-x: 0}.sd-g-md-1,.sd-gy-md-1{--sd-gutter-y: 0.25rem}.sd-g-md-1,.sd-gx-md-1{--sd-gutter-x: 0.25rem}.sd-g-md-2,.sd-gy-md-2{--sd-gutter-y: 0.5rem}.sd-g-md-2,.sd-gx-md-2{--sd-gutter-x: 0.5rem}.sd-g-md-3,.sd-gy-md-3{--sd-gutter-y: 1rem}.sd-g-md-3,.sd-gx-md-3{--sd-gutter-x: 1rem}.sd-g-md-4,.sd-gy-md-4{--sd-gutter-y: 1.5rem}.sd-g-md-4,.sd-gx-md-4{--sd-gutter-x: 1.5rem}.sd-g-md-5,.sd-gy-md-5{--sd-gutter-y: 3rem}.sd-g-md-5,.sd-gx-md-5{--sd-gutter-x: 3rem}}@media(min-width: 992px){.sd-col-lg-auto{-ms-flex:0 0 auto;flex:0 0 auto;width:auto}.sd-col-lg-1{-ms-flex:0 0 auto;flex:0 0 auto;width:8.3333333333%}.sd-col-lg-2{-ms-flex:0 0 auto;flex:0 0 auto;width:16.6666666667%}.sd-col-lg-3{-ms-flex:0 0 auto;flex:0 0 auto;width:25%}.sd-col-lg-4{-ms-flex:0 0 auto;flex:0 0 auto;width:33.3333333333%}.sd-col-lg-5{-ms-flex:0 0 auto;flex:0 0 auto;width:41.6666666667%}.sd-col-lg-6{-ms-flex:0 0 auto;flex:0 0 auto;width:50%}.sd-col-lg-7{-ms-flex:0 0 auto;flex:0 0 auto;width:58.3333333333%}.sd-col-lg-8{-ms-flex:0 0 auto;flex:0 0 auto;width:66.6666666667%}.sd-col-lg-9{-ms-flex:0 0 auto;flex:0 0 auto;width:75%}.sd-col-lg-10{-ms-flex:0 0 auto;flex:0 0 auto;width:83.3333333333%}.sd-col-lg-11{-ms-flex:0 0 auto;flex:0 0 auto;width:91.6666666667%}.sd-col-lg-12{-ms-flex:0 0 auto;flex:0 0 auto;width:100%}.sd-g-lg-0,.sd-gy-lg-0{--sd-gutter-y: 0}.sd-g-lg-0,.sd-gx-lg-0{--sd-gutter-x: 0}.sd-g-lg-1,.sd-gy-lg-1{--sd-gutter-y: 0.25rem}.sd-g-lg-1,.sd-gx-lg-1{--sd-gutter-x: 0.25rem}.sd-g-lg-2,.sd-gy-lg-2{--sd-gutter-y: 0.5rem}.sd-g-lg-2,.sd-gx-lg-2{--sd-gutter-x: 0.5rem}.sd-g-lg-3,.sd-gy-lg-3{--sd-gutter-y: 1rem}.sd-g-lg-3,.sd-gx-lg-3{--sd-gutter-x: 1rem}.sd-g-lg-4,.sd-gy-lg-4{--sd-gutter-y: 1.5rem}.sd-g-lg-4,.sd-gx-lg-4{--sd-gutter-x: 1.5rem}.sd-g-lg-5,.sd-gy-lg-5{--sd-gutter-y: 3rem}.sd-g-lg-5,.sd-gx-lg-5{--sd-gutter-x: 3rem}}@media(min-width: 1200px){.sd-col-xl-auto{-ms-flex:0 0 auto;flex:0 0 auto;width:auto}.sd-col-xl-1{-ms-flex:0 0 auto;flex:0 0 auto;width:8.3333333333%}.sd-col-xl-2{-ms-flex:0 0 auto;flex:0 0 auto;width:16.6666666667%}.sd-col-xl-3{-ms-flex:0 0 auto;flex:0 0 auto;width:25%}.sd-col-xl-4{-ms-flex:0 0 auto;flex:0 0 auto;width:33.3333333333%}.sd-col-xl-5{-ms-flex:0 0 auto;flex:0 0 auto;width:41.6666666667%}.sd-col-xl-6{-ms-flex:0 0 auto;flex:0 0 auto;width:50%}.sd-col-xl-7{-ms-flex:0 0 auto;flex:0 0 auto;width:58.3333333333%}.sd-col-xl-8{-ms-flex:0 0 auto;flex:0 0 auto;width:66.6666666667%}.sd-col-xl-9{-ms-flex:0 0 auto;flex:0 0 auto;width:75%}.sd-col-xl-10{-ms-flex:0 0 auto;flex:0 0 auto;width:83.3333333333%}.sd-col-xl-11{-ms-flex:0 0 auto;flex:0 0 auto;width:91.6666666667%}.sd-col-xl-12{-ms-flex:0 0 auto;flex:0 0 auto;width:100%}.sd-g-xl-0,.sd-gy-xl-0{--sd-gutter-y: 0}.sd-g-xl-0,.sd-gx-xl-0{--sd-gutter-x: 0}.sd-g-xl-1,.sd-gy-xl-1{--sd-gutter-y: 0.25rem}.sd-g-xl-1,.sd-gx-xl-1{--sd-gutter-x: 0.25rem}.sd-g-xl-2,.sd-gy-xl-2{--sd-gutter-y: 0.5rem}.sd-g-xl-2,.sd-gx-xl-2{--sd-gutter-x: 0.5rem}.sd-g-xl-3,.sd-gy-xl-3{--sd-gutter-y: 1rem}.sd-g-xl-3,.sd-gx-xl-3{--sd-gutter-x: 1rem}.sd-g-xl-4,.sd-gy-xl-4{--sd-gutter-y: 1.5rem}.sd-g-xl-4,.sd-gx-xl-4{--sd-gutter-x: 1.5rem}.sd-g-xl-5,.sd-gy-xl-5{--sd-gutter-y: 3rem}.sd-g-xl-5,.sd-gx-xl-5{--sd-gutter-x: 3rem}}.sd-flex-row-reverse{flex-direction:row-reverse !important}details.sd-dropdown{position:relative;font-size:var(--sd-fontsize-dropdown)}details.sd-dropdown:hover{cursor:pointer}details.sd-dropdown .sd-summary-content{cursor:default}details.sd-dropdown summary.sd-summary-title{padding:.5em .6em .5em 1em;font-size:var(--sd-fontsize-dropdown-title);font-weight:var(--sd-fontweight-dropdown-title);user-select:none;-moz-user-select:none;-ms-user-select:none;-webkit-user-select:none;list-style:none;display:inline-flex;justify-content:space-between}details.sd-dropdown summary.sd-summary-title::-webkit-details-marker{display:none}details.sd-dropdown summary.sd-summary-title:focus{outline:none}details.sd-dropdown summary.sd-summary-title .sd-summary-icon{margin-right:.6em;display:inline-flex;align-items:center}details.sd-dropdown summary.sd-summary-title .sd-summary-icon svg{opacity:.8}details.sd-dropdown summary.sd-summary-title .sd-summary-text{flex-grow:1;line-height:1.5;padding-right:.5rem}details.sd-dropdown summary.sd-summary-title .sd-summary-state-marker{pointer-events:none;display:inline-flex;align-items:center}details.sd-dropdown summary.sd-summary-title .sd-summary-state-marker svg{opacity:.6}details.sd-dropdown summary.sd-summary-title:hover .sd-summary-state-marker svg{opacity:1;transform:scale(1.1)}details.sd-dropdown[open] summary .sd-octicon.no-title{visibility:hidden}details.sd-dropdown .sd-summary-chevron-right{transition:.25s}details.sd-dropdown[open]>.sd-summary-title .sd-summary-chevron-right{transform:rotate(90deg)}details.sd-dropdown[open]>.sd-summary-title .sd-summary-chevron-down{transform:rotate(180deg)}details.sd-dropdown:not([open]).sd-card{border:none}details.sd-dropdown:not([open])>.sd-card-header{border:1px solid var(--sd-color-card-border);border-radius:.25rem}details.sd-dropdown.sd-fade-in[open] summary~*{-moz-animation:sd-fade-in .5s ease-in-out;-webkit-animation:sd-fade-in .5s ease-in-out;animation:sd-fade-in .5s ease-in-out}details.sd-dropdown.sd-fade-in-slide-down[open] summary~*{-moz-animation:sd-fade-in .5s ease-in-out,sd-slide-down .5s ease-in-out;-webkit-animation:sd-fade-in .5s ease-in-out,sd-slide-down .5s ease-in-out;animation:sd-fade-in .5s ease-in-out,sd-slide-down .5s ease-in-out}.sd-col>.sd-dropdown{width:100%}.sd-summary-content>.sd-tab-set:first-child{margin-top:0}@keyframes sd-fade-in{0%{opacity:0}100%{opacity:1}}@keyframes sd-slide-down{0%{transform:translate(0, -10px)}100%{transform:translate(0, 0)}}.sd-tab-set{border-radius:.125rem;display:flex;flex-wrap:wrap;margin:1em 0;position:relative}.sd-tab-set>input{opacity:0;position:absolute}.sd-tab-set>input:checked+label{border-color:var(--sd-color-tabs-underline-active);color:var(--sd-color-tabs-label-active)}.sd-tab-set>input:checked+label+.sd-tab-content{display:block}.sd-tab-set>input:not(:checked)+label:hover{color:var(--sd-color-tabs-label-hover);border-color:var(--sd-color-tabs-underline-hover)}.sd-tab-set>input:focus+label{outline-style:auto}.sd-tab-set>input:not(.focus-visible)+label{outline:none;-webkit-tap-highlight-color:transparent}.sd-tab-set>label{border-bottom:.125rem solid transparent;margin-bottom:0;color:var(--sd-color-tabs-label-inactive);border-color:var(--sd-color-tabs-underline-inactive);cursor:pointer;font-size:var(--sd-fontsize-tabs-label);font-weight:700;padding:1em 1.25em .5em;transition:color 250ms;width:auto;z-index:1}html .sd-tab-set>label:hover{color:var(--sd-color-tabs-label-active)}.sd-col>.sd-tab-set{width:100%}.sd-tab-content{box-shadow:0 -0.0625rem var(--sd-color-tabs-overline),0 .0625rem var(--sd-color-tabs-underline);display:none;order:99;padding-bottom:.75rem;padding-top:.75rem;width:100%}.sd-tab-content>:first-child{margin-top:0 !important}.sd-tab-content>:last-child{margin-bottom:0 !important}.sd-tab-content>.sd-tab-set{margin:0}.sd-sphinx-override,.sd-sphinx-override *{-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box}.sd-sphinx-override p{margin-top:0}:root{--sd-color-primary: #0071bc;--sd-color-secondary: #6c757d;--sd-color-success: #28a745;--sd-color-info: #17a2b8;--sd-color-warning: #f0b37e;--sd-color-danger: #dc3545;--sd-color-light: #f8f9fa;--sd-color-muted: #6c757d;--sd-color-dark: #212529;--sd-color-black: black;--sd-color-white: white;--sd-color-primary-highlight: #0060a0;--sd-color-secondary-highlight: #5c636a;--sd-color-success-highlight: #228e3b;--sd-color-info-highlight: #148a9c;--sd-color-warning-highlight: #cc986b;--sd-color-danger-highlight: #bb2d3b;--sd-color-light-highlight: #d3d4d5;--sd-color-muted-highlight: #5c636a;--sd-color-dark-highlight: #1c1f23;--sd-color-black-highlight: black;--sd-color-white-highlight: #d9d9d9;--sd-color-primary-bg: rgba(0, 113, 188, 0.2);--sd-color-secondary-bg: rgba(108, 117, 125, 0.2);--sd-color-success-bg: rgba(40, 167, 69, 0.2);--sd-color-info-bg: rgba(23, 162, 184, 0.2);--sd-color-warning-bg: rgba(240, 179, 126, 0.2);--sd-color-danger-bg: rgba(220, 53, 69, 0.2);--sd-color-light-bg: rgba(248, 249, 250, 0.2);--sd-color-muted-bg: rgba(108, 117, 125, 0.2);--sd-color-dark-bg: rgba(33, 37, 41, 0.2);--sd-color-black-bg: rgba(0, 0, 0, 0.2);--sd-color-white-bg: rgba(255, 255, 255, 0.2);--sd-color-primary-text: #fff;--sd-color-secondary-text: #fff;--sd-color-success-text: #fff;--sd-color-info-text: #fff;--sd-color-warning-text: #212529;--sd-color-danger-text: #fff;--sd-color-light-text: #212529;--sd-color-muted-text: #fff;--sd-color-dark-text: #fff;--sd-color-black-text: #fff;--sd-color-white-text: #212529;--sd-color-shadow: rgba(0, 0, 0, 0.15);--sd-color-card-border: rgba(0, 0, 0, 0.125);--sd-color-card-border-hover: hsla(231, 99%, 66%, 1);--sd-color-card-background: transparent;--sd-color-card-text: inherit;--sd-color-card-header: transparent;--sd-color-card-footer: transparent;--sd-color-tabs-label-active: hsla(231, 99%, 66%, 1);--sd-color-tabs-label-hover: hsla(231, 99%, 66%, 1);--sd-color-tabs-label-inactive: hsl(0, 0%, 66%);--sd-color-tabs-underline-active: hsla(231, 99%, 66%, 1);--sd-color-tabs-underline-hover: rgba(178, 206, 245, 0.62);--sd-color-tabs-underline-inactive: transparent;--sd-color-tabs-overline: rgb(222, 222, 222);--sd-color-tabs-underline: rgb(222, 222, 222);--sd-fontsize-tabs-label: 1rem;--sd-fontsize-dropdown: inherit;--sd-fontsize-dropdown-title: 1rem;--sd-fontweight-dropdown-title: 700} diff --git a/_static/sphinx-reports.e44b1595f1e09d5e109d001839ba3f42.css b/_static/sphinx-reports.e44b1595f1e09d5e109d001839ba3f42.css new file mode 100644 index 000000000..02468d35c --- /dev/null +++ b/_static/sphinx-reports.e44b1595f1e09d5e109d001839ba3f42.css @@ -0,0 +1,48 @@ +/* + * Disable odd/even coloring for docutils tables if it's a coverage table. + * Otherwise, the 'nth-child' rule will always override row colors indicating the coverage level. + */ +.rst-content table.docutils:not(.field-list) tr:nth-child(2n-1) td { + background-color: unset; +} + +/* + * Coloring for 0..30, 30..50, 50..80, 80..90, 90.100% coverage + */ +/* very good */ +.report-cov-below100 { + background: rgba(0, 200, 82, .4); +} +/* good */ +.report-cov-below90 { + background: rgba(0, 200, 82, .2); +} +/* modest */ +.report-cov-below80 { + background: rgba(255, 145, 0, .2); +} +/* bad */ +.report-cov-below50 { + background: rgba(255, 82, 82, .2); +} +/* very bad */ +.report-cov-below30 { + background: rgba(101, 31, 255, .2); +} +/* internal error */ +.report-cov-error{ + background: rgba(255, 0, 0, .4); +} + +.report-dep-summary-row { + font-weight: bold; +} +.report-codecov-summary-row { + font-weight: bold; +} +.report-doccov-summary-row { + font-weight: bold; +} +.report-unittest-summary-row { + font-weight: bold; +} diff --git a/_static/sphinx_highlight.js b/_static/sphinx_highlight.js new file mode 100644 index 000000000..8a96c69a1 --- /dev/null +++ b/_static/sphinx_highlight.js @@ -0,0 +1,154 @@ +/* Highlighting utilities for Sphinx HTML documentation. */ +"use strict"; + +const SPHINX_HIGHLIGHT_ENABLED = true + +/** + * highlight a given string on a node by wrapping it in + * span elements with the given class name. + */ +const _highlight = (node, addItems, text, className) => { + if (node.nodeType === Node.TEXT_NODE) { + const val = node.nodeValue; + const parent = node.parentNode; + const pos = val.toLowerCase().indexOf(text); + if ( + pos >= 0 && + !parent.classList.contains(className) && + !parent.classList.contains("nohighlight") + ) { + let span; + + const closestNode = parent.closest("body, svg, foreignObject"); + const isInSVG = closestNode && closestNode.matches("svg"); + if (isInSVG) { + span = document.createElementNS("http://www.w3.org/2000/svg", "tspan"); + } else { + span = document.createElement("span"); + span.classList.add(className); + } + + span.appendChild(document.createTextNode(val.substr(pos, text.length))); + const rest = document.createTextNode(val.substr(pos + text.length)); + parent.insertBefore( + span, + parent.insertBefore( + rest, + node.nextSibling + ) + ); + node.nodeValue = val.substr(0, pos); + /* There may be more occurrences of search term in this node. So call this + * function recursively on the remaining fragment. + */ + _highlight(rest, addItems, text, className); + + if (isInSVG) { + const rect = document.createElementNS( + "http://www.w3.org/2000/svg", + "rect" + ); + const bbox = parent.getBBox(); + rect.x.baseVal.value = bbox.x; + rect.y.baseVal.value = bbox.y; + rect.width.baseVal.value = bbox.width; + rect.height.baseVal.value = bbox.height; + rect.setAttribute("class", className); + addItems.push({ parent: parent, target: rect }); + } + } + } else if (node.matches && !node.matches("button, select, textarea")) { + node.childNodes.forEach((el) => _highlight(el, addItems, text, className)); + } +}; +const _highlightText = (thisNode, text, className) => { + let addItems = []; + _highlight(thisNode, addItems, text, className); + addItems.forEach((obj) => + obj.parent.insertAdjacentElement("beforebegin", obj.target) + ); +}; + +/** + * Small JavaScript module for the documentation. + */ +const SphinxHighlight = { + + /** + * highlight the search words provided in localstorage in the text + */ + highlightSearchWords: () => { + if (!SPHINX_HIGHLIGHT_ENABLED) return; // bail if no highlight + + // get and clear terms from localstorage + const url = new URL(window.location); + const highlight = + localStorage.getItem("sphinx_highlight_terms") + || url.searchParams.get("highlight") + || ""; + localStorage.removeItem("sphinx_highlight_terms") + url.searchParams.delete("highlight"); + window.history.replaceState({}, "", url); + + // get individual terms from highlight string + const terms = highlight.toLowerCase().split(/\s+/).filter(x => x); + if (terms.length === 0) return; // nothing to do + + // There should never be more than one element matching "div.body" + const divBody = document.querySelectorAll("div.body"); + const body = divBody.length ? divBody[0] : document.querySelector("body"); + window.setTimeout(() => { + terms.forEach((term) => _highlightText(body, term, "highlighted")); + }, 10); + + const searchBox = document.getElementById("searchbox"); + if (searchBox === null) return; + searchBox.appendChild( + document + .createRange() + .createContextualFragment( + '" + ) + ); + }, + + /** + * helper function to hide the search marks again + */ + hideSearchWords: () => { + document + .querySelectorAll("#searchbox .highlight-link") + .forEach((el) => el.remove()); + document + .querySelectorAll("span.highlighted") + .forEach((el) => el.classList.remove("highlighted")); + localStorage.removeItem("sphinx_highlight_terms") + }, + + initEscapeListener: () => { + // only install a listener if it is really needed + if (!DOCUMENTATION_OPTIONS.ENABLE_SEARCH_SHORTCUTS) return; + + document.addEventListener("keydown", (event) => { + // bail for input elements + if (BLACKLISTED_KEY_CONTROL_ELEMENTS.has(document.activeElement.tagName)) return; + // bail with special keys + if (event.shiftKey || event.altKey || event.ctrlKey || event.metaKey) return; + if (DOCUMENTATION_OPTIONS.ENABLE_SEARCH_SHORTCUTS && (event.key === "Escape")) { + SphinxHighlight.hideSearchWords(); + event.preventDefault(); + } + }); + }, +}; + +_ready(() => { + /* Do not call highlightSearchWords() when we are on the search page. + * It will highlight words from the *previous* search query. + */ + if (typeof Search === "undefined") SphinxHighlight.highlightSearchWords(); + SphinxHighlight.initEscapeListener(); +}); diff --git a/coverage/index.html b/coverage/index.html new file mode 100644 index 000000000..dfe9036d4 --- /dev/null +++ b/coverage/index.html @@ -0,0 +1,467 @@ + + + + + + + Code Coverage Report — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Code Coverage Report

+

Code coverage report generated with pytest and Coverage.py.

+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Package

Statments

Branches

Coverage

 Module

Total

Excluded

Covered

Missing

Total

Covered

Partial

Missing

in %

📦pyVHDLModel

1075

25

843

232

540

415

55

125

77.9%

   Association

32

0

22

10

16

12

0

4

70.8%

   Base

178

0

144

34

86

76

0

10

83.3%

   Common

52

0

36

16

22

18

0

4

73.0%

   Concurrent

363

2

211

152

168

114

6

54

61.2%

   DesignUnit

315

0

242

73

142

115

5

27

78.1%

   Exception

137

6

95

42

58

58

0

0

78.5%

   Expression

453

0

366

87

226

224

0

2

86.9%

   IEEE

152

0

152

0

50

50

0

0

100.0%

   Instantiation

43

0

33

10

16

16

0

0

83.1%

   Interface

86

0

57

29

34

34

0

0

75.8%

   Name

92

0

76

16

42

34

0

8

82.1%

   Namespace

84

0

37

47

38

13

3

25

41.0%

   Object

64

0

55

9

28

27

1

1

89.1%

   PSLModel

26

0

21

5

12

12

0

0

86.8%

   Predefined

48

0

47

1

16

15

1

1

96.9%

   Regions

93

0

58

35

54

26

2

28

57.1%

   STD

82

0

82

0

14

14

0

0

100.0%

   Sequential

270

0

158

112

134

100

0

34

63.9%

   Subprogram

68

0

45

23

26

26

0

0

75.5%

   Symbol

220

0

207

13

102

102

0

0

96.0%

   Type

216

0

170

46

102

89

3

13

81.4%

Overall (1 files):

+

Legend

+ + + + + + + + + + + + + + + + + + + + + + + +

%

Coverage Level

≤30%

almost undocumented

≤50%

poorly documented

≤80%

roughly documented

≤90%

well documented

≤100%

excellent documented

+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/genindex.html b/genindex.html new file mode 100644 index 000000000..6393dedad --- /dev/null +++ b/genindex.html @@ -0,0 +1,9983 @@ + + + + + + Index — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+
    +
  • + +
  • +
  • +
+
+
+
+
+ + +

Index

+ +
+ _ + | A + | B + | C + | D + | E + | F + | G + | H + | I + | L + | M + | N + | O + | P + | Q + | R + | S + | T + | U + | V + | W + | X + +
+

_

+ + + +
+ +

A

+ + + +
+ +

B

+ + + +
+ +

C

+ + + +
+ +

D

+ + + +
+ +

E

+ + + +
+ +

F

+ + + +
+ +

G

+ + + +
+ +

H

+ + + +
+ +

I

+ + + +
+ +

L

+ + + +
+ +

M

+ + + +
+ +

N

+ + + +
+ +

O

+ + + +
+ +

P

+ + + +
+ +

Q

+ + + +
+ +

R

+ + + +
+ +

S

+ + + +
+ +

T

+ + + +
+ +

U

+ + + +
+ +

V

+ + + +
+ +

W

+ + + +
+ +

X

+ + + +
+ + + +
+
+
+ +
+ +
+

© Copyright 2016-2024, Patrick Lehmann. + Last updated on 27.09.2024. +

+
+ + Built with Sphinx using a + theme + provided by Read the Docs. + + +
+
+
+
+
+ + + + \ No newline at end of file diff --git a/index.html b/index.html new file mode 100644 index 000000000..d72b521f7 --- /dev/null +++ b/index.html @@ -0,0 +1,288 @@ + + + + + + + The pyVHDLModel Documentation — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ + _images/logo.svg +
+
Sourcecode on GitHub Code license Documentation - Read Now! Documentation License Documentation License
+
PyPI - Tag PyPI - Status PyPI - Python Version
+
GitHub Workflow - Build and Test Status Libraries.io status for latest release Codacy - Quality Codacy - Line Coverage Codecov - Branch Coverage
+
+
+

The pyVHDLModel Documentation

+

An abstract VHDL language model.

+
+

Main Goals

+

This package provides a unified abstract language model for VHDL. Projects reading +from source files can derive own classes and implement additional logic to create +a concrete language model for their tools.

+

Projects consuming pre-processed VHDL data (parsed, analyzed or elaborated) can +build higher level features and services on such a model, while supporting multiple +frontends.

+
+
+

Use Cases

+ +
+
+

News

+
+

Jan. 2023 - Dependency, Hierarchy, Compile Order Analysis

+
+
    +
  • Enhanced analysis of cross references.

  • +
  • Enhanced dependency graphs:

    +
      +
    • Hierarchy graph and toplevel detection

    • +
    • Compile order computation

    • +
    +
  • +
  • Transformation from single module to >15 modules.

  • +
  • Improved code coverage and test cases.

  • +
+
+

Dec. 2022 - Added Documentation Property

+
+
    +
  • GHDL’s is now able to collect and associate (documentation) comments to language +constructs. This enhancement adds a Documentation property to many classes similar to a doc-string in Python.

  • +
  • New -style of symbols merging a Name and a Symbol class.

  • +
  • Finding relations between packages and its bodies, entities and its architectures.

  • +
  • References to libraries, packages and contexts.

  • +
  • Dependency graph for packages, contexts, and entities.

    +
      +
    • Package graph.

    • +
    • Hierarchy graph.

    • +
    • Compile order.

    • +
    +
  • +
+
+

Jul. 2021 - First adoption and enhancements

+
+
    +
  • GHDL’s is the first big adopter with pyGHDL.dom +to generate a network of instantiated classes derived from pyVHDLModel.
    +It uses pyGHDL as a backend (GHDL build as shared object and +loaded into CPython via C-binding API (ctypes).

  • +
+
+

Jun. 2021 - Model and documentation enhancements

+
+
    +
  • Made generic, port, and parameter items a subclass of the matching object classes.

  • +
  • Added missing object representations for language features.

    +
      +
    • Finalized literals, expressions and types.

    • +
    • Added properties to empty placeholder classes.

    • +
    +
  • +
  • Corrected class hierarchy according to LRM.

  • +
  • Enhanced class documentation and cross references.

  • +
  • New documentation chapter for literals and expressions.

  • +
  • Added inheritance diagrams as overviews to documentation sections.

  • +
  • Added condensed code snippets outlining the main interface of a model’s object.

  • +
  • New Single-File GitHub Action workflow (pipeline) including tests, documentation, packaging and publishing.

  • +
  • Added Dependabot configuration file.

  • +
  • Removed 2 dependencies to patched Sphinx extensions (now fixed in Sphinx).

  • +
  • +
+
+

Jan. 2021 - Documentation enhancements

+
+
    +
  • Enhanced class documentation.

  • +
  • Changed test runner to pytest.

  • +
  • Dependency check and license clearance.
    +See Dependency for details.

  • +
+
+

Dec. 2020 - Split from pyVHDLParser

+
+
    +
  • pyVHDLModel was split from pyVHDLParser (v0.6.0) as an independent Python package.

  • +
+
+
+

Contributors

+ +
+
+

License

+

This Python package (source code) is licensed under Apache License 2.0.
+The accompanying documentation is licensed under Creative Commons - Attribution 4.0 (CC-BY 4.0).

+

This document was generated on |docdate|.

+
+
+
+
+
+
+
+
+
+
+
+
+ + +
+
+
+ +
+ +
+

© Copyright 2016-2024, Patrick Lehmann. + Last updated on 27.09.2024. +

+
+ + Built with Sphinx using a + theme + provided by Read the Docs. + + +
+
+
+
+
+ + + + \ No newline at end of file diff --git a/objects.inv b/objects.inv new file mode 100644 index 000000000..93f46a12b Binary files /dev/null and b/objects.inv differ diff --git a/py-modindex.html b/py-modindex.html new file mode 100644 index 000000000..7679fe6a8 --- /dev/null +++ b/py-modindex.html @@ -0,0 +1,325 @@ + + + + + + Python Module Index — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+
    +
  • + +
  • +
  • +
+
+
+
+
+ + +

Python Module Index

+ +
+ a | + b | + c | + d | + e | + i | + n | + o | + p | + r | + s | + t +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
 
+ a
+ pyVHDLModel.Association +
 
+ b
+ pyVHDLModel.Base +
 
+ c
+ pyVHDLModel.Common +
+ pyVHDLModel.Concurrent +
 
+ d
+ pyVHDLModel.Declaration +
+ pyVHDLModel.DesignUnit +
 
+ e
+ pyVHDLModel.Exception +
+ pyVHDLModel.Expression +
 
+ i
+ pyVHDLModel.IEEE +
+ pyVHDLModel.Instantiation +
+ pyVHDLModel.Interface +
 
+ n
+ pyVHDLModel.Name +
+ pyVHDLModel.Namespace +
 
+ o
+ pyVHDLModel.Object +
 
+ p
+ pyVHDLModel +
+ pyVHDLModel.Predefined +
+ pyVHDLModel.PSLModel +
 
+ r
+ pyVHDLModel.Regions +
 
+ s
+ pyVHDLModel.Sequential +
+ pyVHDLModel.STD +
+ pyVHDLModel.Subprogram +
+ pyVHDLModel.Symbol +
 
+ t
+ pyVHDLModel.Type +
+ + +
+
+
+ +
+ +
+

© Copyright 2016-2024, Patrick Lehmann. + Last updated on 27.09.2024. +

+
+ + Built with Sphinx using a + theme + provided by Read the Docs. + + +
+
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Association.html b/pyVHDLModel/pyVHDLModel.Association.html new file mode 100644 index 000000000..35c8ec9ba --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Association.html @@ -0,0 +1,592 @@ + + + + + + + pyVHDLModel.Association — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Association

+

This module contains parts of an abstract document language model for VHDL.

+

Associations are used in generic maps, port maps and parameter maps.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Association.AssociationItem(actual, formal=None)[source]
+

A base-class for all association items.

+

Inheritance

+
+

Inheritance diagram of AssociationItem

+
+
Parameters:
+
+
+
+
+
+__init__(actual, formal=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Association.GenericAssociationItem(actual, formal=None)[source]
+

A base-class for all generic association items used in generic map aspects.

+

Inheritance

+
+

Inheritance diagram of GenericAssociationItem

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(actual, formal=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Association.PortAssociationItem(actual, formal=None)[source]
+

A base-class for all port association items used in port map aspects.

+

Inheritance

+
+

Inheritance diagram of PortAssociationItem

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(actual, formal=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Association.ParameterAssociationItem(actual, formal=None)[source]
+

A base-class for all parameter association items used in parameter map aspects.

+

Inheritance

+
+

Inheritance diagram of ParameterAssociationItem

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(actual, formal=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Base.html b/pyVHDLModel/pyVHDLModel.Base.html new file mode 100644 index 000000000..3c14838c4 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Base.html @@ -0,0 +1,1658 @@ + + + + + + + pyVHDLModel.Base — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Base

+

This module contains parts of an abstract document language model for VHDL.

+

Base-classes for the VHDL language model.

+

Variables

+ +

Classes

+
    +
  • Direction: +An enumeration representing a direction in a range (to or downto).

  • +
  • Mode: +A Mode is an enumeration. It represents the direction of data exchange (in, out, …) for objects in

  • +
  • ModelEntity: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
  • NamedEntityMixin: +A NamedEntityMixin is a mixin class for all VHDL entities that have identifiers.

  • +
  • MultipleNamedEntityMixin: +A MultipleNamedEntityMixin is a mixin class for all VHDL entities that declare multiple instances at once by

  • +
  • LabeledEntityMixin: +A LabeledEntityMixin is a mixin class for all VHDL entities that can have labels.

  • +
  • DocumentedEntityMixin: +A DocumentedEntityMixin is a mixin class for all VHDL entities that can have an associated documentation.

  • +
  • ConditionalMixin: +A ConditionalMixin is a mixin-class for all statements with a condition.

  • +
  • BranchMixin: +A BranchMixin is a mixin-class for all statements with branches.

  • +
  • ConditionalBranchMixin: +A BaseBranch is a mixin-class for all branch statements with a condition.

  • +
  • IfBranchMixin: +A BaseIfBranch is a mixin-class for all if-branches.

  • +
  • ElsifBranchMixin: +A BaseElsifBranch is a mixin-class for all elsif-branches.

  • +
  • ElseBranchMixin: +A BaseElseBranch is a mixin-class for all else-branches.

  • +
  • ReportStatementMixin: +A MixinReportStatement is a mixin-class for all report and assert statements.

  • +
  • AssertStatementMixin: +A MixinAssertStatement is a mixin-class for all assert statements.

  • +
  • BaseChoice: +A Choice is a base-class for all choices.

  • +
  • BaseCase: +A Case is a base-class for all cases.

  • +
  • Range: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
  • WaveformElement: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
+
+

Variables

+
+
+pyVHDLModel.Base.ExpressionUnion
+
typing.Union[ForwardRef('BaseExpression'), ForwardRef('QualifiedExpression'), ForwardRef('FunctionCall'), ForwardRef('TypeConversion'), ForwardRef('Literal')]
+
+
+

alias of BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal

+
+ +
+

Classes

+
+
+class pyVHDLModel.Base.Direction(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

An enumeration representing a direction in a range (to or downto).

+

Inheritance

+
+

Inheritance diagram of Direction

+
+
+To = 0
+

Ascending direction

+
+ +
+
+DownTo = 1
+

Descending direction

+
+ +
+
+__str__()[source]
+

Formats the direction to to or downto.

+
+
Return type:
+

str

+
+
Returns:
+

Formatted direction.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.Mode(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

A Mode is an enumeration. It represents the direction of data exchange (in, out, …) for objects in +generic, port or parameter lists.

+

In case no mode is defined, Default is used, so the mode is inferred from context.

+

Inheritance

+
+

Inheritance diagram of Mode

+
+
+Default = 0
+

Mode not defined, thus it’s context dependent.

+
+ +
+
+In = 1
+

Input

+
+ +
+
+Out = 2
+

Output

+
+ +
+
+InOut = 3
+

Bi-directional

+
+ +
+
+Buffer = 4
+

Buffered output

+
+ +
+
+Linkage = 5
+

undocumented

+
+ +
+
+__str__()[source]
+

Formats the direction.

+
+
Return type:
+

str

+
+
Returns:
+

Formatted direction.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.ModelEntity(parent=None)[source]
+

ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple +inheritance) and enumerations.

+

Each entity in this model has a reference to its parent entity. Therefore, a protected variable _parent is +available and a readonly property Parent.

+

Inheritance

+
+

Inheritance diagram of ModelEntity

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+__init__(parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.NamedEntityMixin(identifier)[source]
+

A NamedEntityMixin is a mixin class for all VHDL entities that have identifiers.

+

Protected variables _identifier and _normalizedIdentifier are available to derived classes as well as +two readonly properties Identifier and NormalizedIdentifier for public access.

+

Inheritance

+
+

Inheritance diagram of NamedEntityMixin

+
+
Parameters:
+

identifier (str)

+
+
+
+
+__init__(identifier)[source]
+

Initializes a named entity.

+
+
Parameters:
+

identifier (str) – Identifier (name) of the model entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.MultipleNamedEntityMixin(identifiers)[source]
+

A MultipleNamedEntityMixin is a mixin class for all VHDL entities that declare multiple instances at once by +defining multiple identifiers.

+

Protected variables _identifiers and _normalizedIdentifiers are available to derived classes as well +as two readonly properties Identifiers and NormalizedIdentifiers for public access.

+

Inheritance

+
+

Inheritance diagram of MultipleNamedEntityMixin

+
+
Parameters:
+

identifiers (Iterable[str])

+
+
+
+
+__init__(identifiers)[source]
+

Initializes a multiple-named entity.

+
+
Parameters:
+

identifiers (Iterable[str]) – Sequence of identifiers (names) of the model entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.LabeledEntityMixin(label)[source]
+

A LabeledEntityMixin is a mixin class for all VHDL entities that can have labels.

+

protected variables _label and _normalizedLabel are available to derived classes as well as two +readonly properties Label and NormalizedLabel for public access.

+

Inheritance

+
+

Inheritance diagram of LabeledEntityMixin

+
+
Parameters:
+

label (str | None)

+
+
+
+
+__init__(label)[source]
+

Initializes a labeled entity.

+
+
Parameters:
+

label (Optional[str]) – Label of the model entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.DocumentedEntityMixin(documentation)[source]
+

A DocumentedEntityMixin is a mixin class for all VHDL entities that can have an associated documentation.

+

A protected variable _documentation is available to derived classes as well as a readonly property +Documentation for public access.

+

Inheritance

+
+

Inheritance diagram of DocumentedEntityMixin

+
+
Parameters:
+

documentation (str | None)

+
+
+
+
+__init__(documentation)[source]
+

Initializes a documented entity.

+
+
Parameters:
+

documentation (Optional[str]) – Documentation of a model entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.ConditionalMixin(condition=None)[source]
+

A ConditionalMixin is a mixin-class for all statements with a condition.

+

Inheritance

+
+

Inheritance diagram of ConditionalMixin

+
+
Parameters:
+

condition (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal | None)

+
+
+
+
+__init__(condition=None)[source]
+

Initializes a statement with a condition.

+

When the condition is not None, the condition’s parent reference is set to this statement.

+
+
Parameters:
+

condition (Union[BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal, None]) – The expression representing the condition.

+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.BranchMixin[source]
+

A BranchMixin is a mixin-class for all statements with branches.

+

Inheritance

+
+

Inheritance diagram of BranchMixin

+
+
+
+
+__init__()[source]
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.ConditionalBranchMixin(condition)[source]
+

A BaseBranch is a mixin-class for all branch statements with a condition.

+

Inheritance

+
+

Inheritance diagram of ConditionalBranchMixin

+
+
Parameters:
+

condition (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal)

+
+
+
+
+__init__(condition)[source]
+

Initializes a statement with a condition.

+

When the condition is not None, the condition’s parent reference is set to this statement.

+
+
Parameters:
+

condition (Union[BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal]) – The expression representing the condition.

+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.IfBranchMixin(condition)[source]
+

A BaseIfBranch is a mixin-class for all if-branches.

+

Inheritance

+
+

Inheritance diagram of IfBranchMixin

+
+
Parameters:
+

condition (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal)

+
+
+
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(condition)
+

Initializes a statement with a condition.

+

When the condition is not None, the condition’s parent reference is set to this statement.

+
+
Parameters:
+

condition (Union[BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal]) – The expression representing the condition.

+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.ElsifBranchMixin(condition)[source]
+

A BaseElsifBranch is a mixin-class for all elsif-branches.

+

Inheritance

+
+

Inheritance diagram of ElsifBranchMixin

+
+
Parameters:
+

condition (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal)

+
+
+
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(condition)
+

Initializes a statement with a condition.

+

When the condition is not None, the condition’s parent reference is set to this statement.

+
+
Parameters:
+

condition (Union[BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal]) – The expression representing the condition.

+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.ElseBranchMixin[source]
+

A BaseElseBranch is a mixin-class for all else-branches.

+

Inheritance

+
+

Inheritance diagram of ElseBranchMixin

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__()
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.ReportStatementMixin(message=None, severity=None)[source]
+

A MixinReportStatement is a mixin-class for all report and assert statements.

+

Inheritance

+
+

Inheritance diagram of ReportStatementMixin

+
+
Parameters:
+
+
+
+
+
+__init__(message=None, severity=None)[source]
+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.AssertStatementMixin(condition, message=None, severity=None)[source]
+

A MixinAssertStatement is a mixin-class for all assert statements.

+

Inheritance

+
+

Inheritance diagram of AssertStatementMixin

+
+
Parameters:
+
+
+
+
+
+__init__(condition, message=None, severity=None)[source]
+

Initializes a statement with a condition.

+

When the condition is not None, the condition’s parent reference is set to this statement.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.BaseChoice(parent=None)[source]
+

A Choice is a base-class for all choices.

+

Inheritance

+
+

Inheritance diagram of BaseChoice

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Base.BaseCase(parent=None)[source]
+

A Case is a base-class for all cases.

+

Inheritance

+
+

Inheritance diagram of BaseCase

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Base.Range(leftBound, rightBound, direction, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Range

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftBound, rightBound, direction, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+
+class pyVHDLModel.Base.WaveformElement(expression, after=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of WaveformElement

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(expression, after=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Common.html b/pyVHDLModel/pyVHDLModel.Common.html new file mode 100644 index 000000000..72abe55f1 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Common.html @@ -0,0 +1,565 @@ + + + + + + + pyVHDLModel.Common — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Common

+

This module contains parts of an abstract document language model for VHDL.

+

Common definitions and Mixins are used by many classes in the model as base-classes.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Common.Statement(label=None, parent=None)[source]
+

A Statement is a base-class for all statements.

+

Inheritance

+
+

Inheritance diagram of Statement

+
+
Parameters:
+

label (str | None)

+
+
+
+
+__init__(label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • label (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Common.ProcedureCallMixin(procedureName, parameterMappings=None)[source]
+

Inheritance

+
+

Inheritance diagram of ProcedureCallMixin

+
+
Parameters:
+
+
+
+
+
+__init__(procedureName, parameterMappings=None)[source]
+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Common.AssignmentMixin(target)[source]
+

A mixin-class for all assignment statements.

+

Inheritance

+
+

Inheritance diagram of AssignmentMixin

+
+
Parameters:
+

target (Symbol)

+
+
+
+
+__init__(target)[source]
+
+
Parameters:
+

target (Symbol)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Common.SignalAssignmentMixin(target)[source]
+

A mixin-class for all signal assignment statements.

+

Inheritance

+
+

Inheritance diagram of SignalAssignmentMixin

+
+
Parameters:
+

target (Symbol)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(target)
+
+
Parameters:
+

target (Symbol)

+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Common.VariableAssignmentMixin(target, expression)[source]
+

A mixin-class for all variable assignment statements.

+

Inheritance

+
+

Inheritance diagram of VariableAssignmentMixin

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(target, expression)[source]
+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Concurrent.html b/pyVHDLModel/pyVHDLModel.Concurrent.html new file mode 100644 index 000000000..fa0d2521a --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Concurrent.html @@ -0,0 +1,4584 @@ + + + + + + + pyVHDLModel.Concurrent — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Concurrent

+

This module contains parts of an abstract document language model for VHDL.

+

Concurrent defines all concurrent statements used in entities, architectures, generates and block statements.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Concurrent.ConcurrentStatement(label=None, parent=None)[source]
+

A base-class for all concurrent statements.

+

Inheritance

+
+

Inheritance diagram of ConcurrentStatement

+
+
Parameters:
+

label (str | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(label=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • label (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentStatementsMixin(statements=None)[source]
+

A mixin-class for all language constructs supporting concurrent statements.

+
+

See also

+
+

Todo

+

concurrent declaration region

+
+
+

Inheritance

+
+

Inheritance diagram of ConcurrentStatementsMixin

+
+
Parameters:
+

statements (Iterable[ConcurrentStatement] | None)

+
+
+
+
+__init__(statements=None)[source]
+
+
Parameters:
+

statements (Iterable[ConcurrentStatement] | None)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Concurrent.Instantiation(label, genericAssociations=None, portAssociations=None, parent=None)[source]
+

A base-class for all (component) instantiations.

+

Inheritance

+
+

Inheritance diagram of Instantiation

+
+
Parameters:
+
+
+
+
+
+__init__(label, genericAssociations=None, portAssociations=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ComponentInstantiation(label, componentSymbol, genericAssociations=None, portAssociations=None, parent=None)[source]
+

Represents a component instantiation by referring to a component name.

+
+

Example

+
inst : component Counter;
+
+
+
+

Inheritance

+
+

Inheritance diagram of ComponentInstantiation

+
+
Parameters:
+
+
+
+
+
+__init__(label, componentSymbol, genericAssociations=None, portAssociations=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.EntityInstantiation(label, entitySymbol, architectureSymbol=None, genericAssociations=None, portAssociations=None, parent=None)[source]
+

Represents an entity instantiation by referring to an entity name with optional architecture name.

+
+

Example

+
inst : entity work. Counter;
+
+
+
+

Inheritance

+
+

Inheritance diagram of EntityInstantiation

+
+
Parameters:
+
+
+
+
+
+__init__(label, entitySymbol, architectureSymbol=None, genericAssociations=None, portAssociations=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConfigurationInstantiation(label, configurationSymbol, genericAssociations=None, portAssociations=None, parent=None)[source]
+

Represents a configuration instantiation by referring to a configuration name.

+
+

Example

+
inst : configuration Counter;
+
+
+
+

Inheritance

+
+

Inheritance diagram of ConfigurationInstantiation

+
+
Parameters:
+
+
+
+
+
+__init__(label, configurationSymbol, genericAssociations=None, portAssociations=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ProcessStatement(label=None, declaredItems=None, statements=None, sensitivityList=None, documentation=None, parent=None)[source]
+

Represents a process statement with sensitivity list, sequential declaration region and sequential statements.

+
+

Example

+
proc: process(Clock)
+  -- sequential declarations
+begin
+  -- sequential statements
+end process;
+
+
+
+

Inheritance

+
+

Inheritance diagram of ProcessStatement

+
+
Parameters:
+
+
+
+
+
+__init__(label=None, declaredItems=None, statements=None, sensitivityList=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentProcedureCall(label, procedureName, parameterMappings=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcurrentProcedureCall

+
+
Parameters:
+
+
+
+
+
+__init__(label, procedureName, parameterMappings=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentBlockStatement(label, portItems=None, declaredItems=None, statements=None, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcurrentBlockStatement

+
+
Parameters:
+
+
+
+
+
+__init__(label, portItems=None, declaredItems=None, statements=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.GenerateBranch(declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

A base-class for all branches in a generate statements.

+ +

Inheritance

+
+

Inheritance diagram of GenerateBranch

+
+
Parameters:
+
+
+
+
+
+__init__(declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.IfGenerateBranch(condition, declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Represents if-generate branch in a generate statement with a concurrent declaration region and concurrent statements.

+
+

Example

+
gen: if condition generate
+  -- concurrent declarations
+begin
+  -- concurrent statements
+elsif condition generate
+  -- ...
+else generate
+  -- ...
+end generate;
+
+
+
+

Inheritance

+
+

Inheritance diagram of IfGenerateBranch

+
+
Parameters:
+
+
+
+
+
+__init__(condition, declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ElsifGenerateBranch(condition, declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Represents elsif-generate branch in a generate statement with a concurrent declaration region and concurrent statements.

+
+

Example

+
gen: if condition generate
+  -- ...
+elsif condition generate
+  -- concurrent declarations
+begin
+  -- concurrent statements
+else generate
+  -- ...
+end generate;
+
+
+
+

Inheritance

+
+

Inheritance diagram of ElsifGenerateBranch

+
+
Parameters:
+
+
+
+
+
+__init__(condition, declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ElseGenerateBranch(declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Represents else-generate branch in a generate statement with a concurrent declaration region and concurrent statements.

+
+

Example

+
gen: if condition generate
+  -- ...
+elsif condition generate
+  -- ...
+else generate
+  -- concurrent declarations
+begin
+  -- concurrent statements
+end generate;
+
+
+
+

Inheritance

+
+

Inheritance diagram of ElseGenerateBranch

+
+
Parameters:
+
+
+
+
+
+__init__(declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.GenerateStatement(label=None, parent=None)[source]
+

A base-class for all generate statements.

+ +

Inheritance

+
+

Inheritance diagram of GenerateStatement

+
+
Parameters:
+
+
+
+
+
+__init__(label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • label (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.IfGenerateStatement(label, ifBranch, elsifBranches=None, elseBranch=None, parent=None)[source]
+

Represents an if…generate statement.

+
+

Example

+
gen: if condition generate
+  -- ...
+elsif condition generate
+  -- ...
+else generate
+  -- ...
+end generate;
+
+
+
+ +

Inheritance

+
+

Inheritance diagram of IfGenerateStatement

+
+
Parameters:
+
+
+
+
+
+__init__(label, ifBranch, elsifBranches=None, elseBranch=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentChoice(parent=None)[source]
+

A base-class for all concurrent choices (in case…generate statements).

+

Inheritance

+
+

Inheritance diagram of ConcurrentChoice

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.IndexedGenerateChoice(expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of IndexedGenerateChoice

+
+
Parameters:
+
+
+
+
+
+__init__(expression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.RangedGenerateChoice(rng, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RangedGenerateChoice

+
+
Parameters:
+
+
+
+
+
+__init__(rng, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • rng (Range)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentCase(declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcurrentCase

+
+
Parameters:
+
+
+
+
+
+__init__(declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.GenerateCase(choices, declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of GenerateCase

+
+
Parameters:
+
+
+
+
+
+__init__(choices, declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.OthersGenerateCase(declaredItems=None, statements=None, alternativeLabel=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of OthersGenerateCase

+
+
Parameters:
+
+
+
+
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(declaredItems=None, statements=None, alternativeLabel=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.CaseGenerateStatement(label, expression, cases, parent=None)[source]
+

Represents a case…generate statement.

+
+

Example

+
gen: case selector generate
+  case choice1 =>
+    -- ...
+  case choice2 =>
+    -- ...
+  case others =>
+    -- ...
+end generate;
+
+
+
+

Inheritance

+
+

Inheritance diagram of CaseGenerateStatement

+
+
Parameters:
+
+
+
+
+
+__init__(label, expression, cases, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ForGenerateStatement(label, loopIndex, rng, declaredItems=None, statements=None, parent=None)[source]
+

Represents a for…generate statement.

+
+

Example

+
gen: for i in 0 to 3 generate
+  -- ...
+end generate;
+
+
+
+

Inheritance

+
+

Inheritance diagram of ForGenerateStatement

+
+
Parameters:
+
+
+
+
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(label, loopIndex, rng, declaredItems=None, statements=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentSignalAssignment(label, target, parent=None)[source]
+

A base-class for concurrent signal assignments.

+ +

Inheritance

+
+

Inheritance diagram of ConcurrentSignalAssignment

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(label, target, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • label (str)

  • +
  • target (Name)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment(label, target, waveform, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcurrentSimpleSignalAssignment

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(label, target, waveform, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment(label, target, expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcurrentSelectedSignalAssignment

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(label, target, expression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment(label, target, expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcurrentConditionalSignalAssignment

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(label, target, expression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Concurrent.ConcurrentAssertStatement(condition, message, severity=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcurrentAssertStatement

+
+
Parameters:
+
+
+
+
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(condition, message, severity=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Declaration.html b/pyVHDLModel/pyVHDLModel.Declaration.html new file mode 100644 index 000000000..d6a6361ae --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Declaration.html @@ -0,0 +1,775 @@ + + + + + + + pyVHDLModel.Declaration — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Declaration

+

This module contains parts of an abstract document language model for VHDL.

+

Classes

+
    +
  • EntityClass: +An EntityClass is an enumeration. It represents a VHDL language entity class (entity, label, …).

  • +
  • Attribute: +Represents an attribute declaration.

  • +
  • AttributeSpecification: +Represents an attribute specification.

  • +
  • Alias: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
+
+

Classes

+
+
+class pyVHDLModel.Declaration.EntityClass(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

An EntityClass is an enumeration. It represents a VHDL language entity class (entity, label, …).

+

Inheritance

+
+

Inheritance diagram of EntityClass

+
+
+Entity = 0
+

Entity

+
+ +
+
+Architecture = 1
+

Architecture

+
+ +
+
+Configuration = 2
+

Configuration

+
+ +
+
+Procedure = 3
+

Procedure

+
+ +
+
+Function = 4
+

Function

+
+ +
+
+Package = 5
+

Package

+
+ +
+
+Type = 6
+

Type

+
+ +
+
+Subtype = 7
+

Subtype

+
+ +
+
+Constant = 8
+

Constant

+
+ +
+
+Signal = 9
+

Signal

+
+ +
+
+Variable = 10
+

Variable

+
+ +
+
+Component = 11
+

Component

+
+ +
+
+Label = 12
+

Label

+
+ +
+
+Literal = 13
+

Literal

+
+ +
+
+Units = 14
+

Units

+
+ +
+
+Group = 15
+

Group

+
+ +
+
+File = 16
+

File

+
+ +
+
+Property = 17
+

Property

+
+ +
+
+Sequence = 18
+

Sequence

+
+ +
+
+View = 19
+

View

+
+ +
+
+Others = 20
+

Others

+
+ +
+ +
+
+class pyVHDLModel.Declaration.Attribute(identifier, subtype, documentation=None, parent=None)[source]
+

Represents an attribute declaration.

+
+

Example

+
attribute TotalBits : natural;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Attribute

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, subtype, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • subtype (Symbol)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Declaration.AttributeSpecification(identifiers, attribute, entityClass, expression, documentation=None, parent=None)[source]
+

Represents an attribute specification.

+
+

Example

+
attribute TotalBits of BusType : subtype is 32;
+
+
+
+

Inheritance

+
+

Inheritance diagram of AttributeSpecification

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, attribute, entityClass, expression, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Declaration.Alias(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Alias

+
+
Parameters:
+
+
+
+
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifier, documentation=None, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • documentation (str | None)

  • +
  • parent (ModelEntity)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.DesignUnit.html b/pyVHDLModel/pyVHDLModel.DesignUnit.html new file mode 100644 index 000000000..cfe6ae85b --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.DesignUnit.html @@ -0,0 +1,4087 @@ + + + + + + + pyVHDLModel.DesignUnit — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.DesignUnit

+

This module contains parts of an abstract document language model for VHDL.

+

Design units are contexts, entities, architectures, packages and their bodies as well as configurations.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.DesignUnit.Reference(symbols, parent=None)[source]
+

A base-class for all references.

+ +

Inheritance

+
+

Inheritance diagram of Reference

+
+
Parameters:
+
+
+
+
+
+__init__(symbols, parent=None)[source]
+

Initializes a reference by taking a list of symbols and a parent reference.

+
+
Parameters:
+
    +
  • symbols (Iterable[Symbol]) – A list of symbols this reference references to.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property Symbols: List[Symbol]
+

Read-only property to access the symbols this reference references to (_symbols).

+
+
Returns:
+

A list of symbols.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.LibraryClause(symbols, parent=None)[source]
+

Represents a library clause.

+
+

Example

+
library std, ieee;
+
+
+
+

Inheritance

+
+

Inheritance diagram of LibraryClause

+
+
Parameters:
+
+
+
+
+
+property Symbols: List[LibraryReferenceSymbol]
+

Read-only property to access the symbols this library clause references to (_symbols).

+
+
Returns:
+

A list of library reference symbols.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(symbols, parent=None)
+

Initializes a reference by taking a list of symbols and a parent reference.

+
+
Parameters:
+
    +
  • symbols (Iterable[Symbol]) – A list of symbols this reference references to.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.UseClause(symbols, parent=None)[source]
+

Represents a use clause.

+
+

Example

+
use std.text_io.all, ieee.numeric_std.all;
+
+
+
+

Inheritance

+
+

Inheritance diagram of UseClause

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Symbols: List[Symbol]
+

Read-only property to access the symbols this reference references to (_symbols).

+
+
Returns:
+

A list of symbols.

+
+
+
+ +
+
+__init__(symbols, parent=None)
+

Initializes a reference by taking a list of symbols and a parent reference.

+
+
Parameters:
+
    +
  • symbols (Iterable[Symbol]) – A list of symbols this reference references to.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.ContextReference(symbols, parent=None)[source]
+

Represents a context reference.

+
+

Hint

+

It’s called context reference not context clause by the LRM.

+
+
+

Example

+
context ieee.ieee_std_context;
+
+
+
+

Inheritance

+
+

Inheritance diagram of ContextReference

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Symbols: List[Symbol]
+

Read-only property to access the symbols this reference references to (_symbols).

+
+
Returns:
+

A list of symbols.

+
+
+
+ +
+
+__init__(symbols, parent=None)
+

Initializes a reference by taking a list of symbols and a parent reference.

+
+
Parameters:
+
    +
  • symbols (Iterable[Symbol]) – A list of symbols this reference references to.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.DesignUnitWithContextMixin[source]
+

A mixin-class for all design units with a context.

+

Inheritance

+
+

Inheritance diagram of DesignUnitWithContextMixin

+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.DesignUnit(identifier, contextItems=None, documentation=None, parent=None)[source]
+

A base-class for all design units.

+ +

Inheritance

+
+

Inheritance diagram of DesignUnit

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, contextItems=None, documentation=None, parent=None)[source]
+

Initializes a design unit.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_contextItems: List[ContextUnion]
+

List of all context items (library, use and context clauses).

+
+ +
+
+_libraryReferences: List[LibraryClause]
+

List of library clauses.

+
+ +
+
+_packageReferences: List[UseClause]
+

List of use clauses.

+
+ +
+
+_contextReferences: List[ContextReference]
+

List of context clauses.

+
+ +
+
+_referencedLibraries: Dict[str, Library]
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, Package]]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_referencedContexts: Dict[str, Context]
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.PrimaryUnit(identifier, contextItems=None, documentation=None, parent=None)[source]
+

A base-class for all primary design units.

+
+

See also

+ +
+

Inheritance

+
+

Inheritance diagram of PrimaryUnit

+
+
Parameters:
+
+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, contextItems=None, documentation=None, parent=None)
+

Initializes a design unit.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.SecondaryUnit(identifier, contextItems=None, documentation=None, parent=None)[source]
+

A base-class for all secondary design units.

+
+

See also

+ +
+

Inheritance

+
+

Inheritance diagram of SecondaryUnit

+
+
Parameters:
+
+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, contextItems=None, documentation=None, parent=None)
+

Initializes a design unit.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.Context(identifier, references=None, documentation=None, parent=None)[source]
+

Represents a context declaration.

+

A context contains a generic list of all its items (library clauses, use clauses and context references) in +_references.

+

Furthermore, when a context gets initialized, the item kinds get separated into individual lists:

+ +

When pyVHDLModel.Design.LinkContexts() got called, these lists were processed and the fields:

+ +

are populated.

+
+

Example

+
context ctx is
+  -- ...
+end context;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Context

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, references=None, documentation=None, parent=None)[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier (str) – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation (Optional[str]) – Associated documentation of the design unit.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • references (Iterable[LibraryClause | UseClause | ContextReference] | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.Package(identifier, contextItems=None, genericItems=None, declaredItems=None, documentation=None, parent=None)[source]
+

Represents a package declaration.

+
+

Example

+
package pkg is
+  -- ...
+end package;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Package

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, contextItems=None, genericItems=None, declaredItems=None, documentation=None, parent=None)[source]
+

Initializes a design unit.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__repr__()[source]
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.PackageBody(packageSymbol, contextItems=None, declaredItems=None, documentation=None, parent=None)[source]
+

Represents a package body declaration.

+
+

Example

+
package body pkg is
+  -- ...
+end package body;
+
+
+
+

Inheritance

+
+

Inheritance diagram of PackageBody

+
+
Parameters:
+
+
+
+
+
+__init__(packageSymbol, contextItems=None, declaredItems=None, documentation=None, parent=None)[source]
+

Initializes a design unit.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__repr__()[source]
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.Entity(identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None, documentation=None, parent=None)[source]
+

Represents an entity declaration.

+
+

Example

+
entity ent is
+  -- ...
+end entity;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Entity

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None, documentation=None, parent=None)[source]
+

Initializes a design unit.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__repr__()[source]
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.Architecture(identifier, entity, contextItems=None, declaredItems=None, statements=None, documentation=None, parent=None)[source]
+

Represents an architecture declaration.

+
+

Example

+
architecture rtl of ent is
+  -- ...
+begin
+  -- ...
+end architecture;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Architecture

+
+
Parameters:
+
+
+
+
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+__init__(identifier, entity, contextItems=None, declaredItems=None, statements=None, documentation=None, parent=None)[source]
+

Initializes a design unit.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__repr__()[source]
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.Component(identifier, genericItems=None, portItems=None, documentation=None, parent=None)[source]
+

Represents a configuration declaration.

+
+

Example

+
component ent is
+  -- ...
+end component;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Component

+
+
Parameters:
+
+
+
+
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifier, genericItems=None, portItems=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.DesignUnit.Configuration(identifier, contextItems=None, documentation=None, parent=None)[source]
+

Represents a configuration declaration.

+
+

Example

+
configuration cfg of ent is
+  for rtl
+    -- ...
+  end for;
+end configuration;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Configuration

+
+
Parameters:
+
+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+__init__(identifier, contextItems=None, documentation=None, parent=None)[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier (str) – Identifier (name) of the design unit.

  • +
  • contextItems (Optional[Iterable[Context]]) – A sequence of library, use or context clauses.

  • +
  • documentation (Optional[str]) – Associated documentation of the design unit.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__repr__()[source]
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Exception.html b/pyVHDLModel/pyVHDLModel.Exception.html new file mode 100644 index 000000000..0ecf96fa3 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Exception.html @@ -0,0 +1,453 @@ + + + + + + + pyVHDLModel.Exception — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Exception

+

This module contains parts of an abstract document language model for VHDL.

+

The module Exceptions contains all structured errors that are raised by pyVHDLModel. Besides a default error +message in english, each exception object contains one or multiple references to the exception’s context.

+

Exceptions

+ +
+

Exceptions

+
+
+exception pyVHDLModel.Exception.VHDLModelException[source]
+

Base-class for all exceptions (errors) raised by pyVHDLModel.

+

Inheritance

+
+

Inheritance diagram of VHDLModelException

+
+ +
+
+exception pyVHDLModel.Exception.LibraryExistsInDesignError(library)[source]
+

This exception is raised, when the library is already existing in the design.

+

Message: f"Library '{library._identifier}' already exists in design."

+

Inheritance

+
+

Inheritance diagram of LibraryExistsInDesignError

+
+
Parameters:
+

library (Library)

+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.LibraryRegisteredToForeignDesignError(library)[source]
+

This exception is raised, when the library is already registered to a foreign design.

+

Message: f"Library '{library._identifier}' already registered in design '{library.Parent}'."

+

Inheritance

+
+

Inheritance diagram of LibraryRegisteredToForeignDesignError

+
+
Parameters:
+

library (Library)

+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.LibraryNotRegisteredError(library)[source]
+

This exception is raised, when the library is not registered in the design.

+

Message: f"Library '{library._identifier}' is not registered in the design."

+

Inheritance

+
+

Inheritance diagram of LibraryNotRegisteredError

+
+
Parameters:
+

library (Library)

+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.EntityExistsInLibraryError(entity, library)[source]
+

This exception is raised, when the entity already existing in the library.

+

Message: f"Entity '{entity._identifier}' already exists in library '{library._identifier}'."

+

Inheritance

+
+

Inheritance diagram of EntityExistsInLibraryError

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.ArchitectureExistsInLibraryError(architecture, entity, library)[source]
+

This exception is raised, when the architecture already existing in the library.

+

Message: f"Architecture '{architecture._identifier}' for entity '{entity._identifier}' already exists in library '{library._identifier}'."

+

Inheritance

+
+

Inheritance diagram of ArchitectureExistsInLibraryError

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.PackageExistsInLibraryError(package, library)[source]
+

This exception is raised, when the package already existing in the library.

+

Message: f"Package '{package._identifier}' already exists in library '{library._identifier}'."

+

Inheritance

+
+

Inheritance diagram of PackageExistsInLibraryError

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.PackageBodyExistsError(packageBody, library)[source]
+

This exception is raised, when the package body already existing in the library.

+

Message: f"Package body '{packageBody._identifier}' already exists in library '{library._identifier}'."

+

Inheritance

+
+

Inheritance diagram of PackageBodyExistsError

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.ConfigurationExistsInLibraryError(configuration, library)[source]
+

This exception is raised, when the configuration already existing in the library.

+

Message: f"Configuration '{configuration._identifier}' already exists in library '{library._identifier}'."

+

Inheritance

+
+

Inheritance diagram of ConfigurationExistsInLibraryError

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.ContextExistsInLibraryError(context, library)[source]
+

This exception is raised, when the context already existing in the library.

+

Message: f"Context '{context._identifier}' already exists in library '{library._identifier}'."

+

Inheritance

+
+

Inheritance diagram of ContextExistsInLibraryError

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+exception pyVHDLModel.Exception.ReferencedLibraryNotExistingError(context, librarySymbol)[source]
+

This exception is raised, when a library is referenced by a library clause, but doesn’t exist in the design.

+

Message: f"Library '{librarySymbol.Name._identifier}' referenced by library clause of context '{context._identifier}' doesn't exist in design."

+

Inheritance

+
+

Inheritance diagram of ReferencedLibraryNotExistingError

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Expression.html b/pyVHDLModel/pyVHDLModel.Expression.html new file mode 100644 index 000000000..31ba39c2b --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Expression.html @@ -0,0 +1,8183 @@ + + + + + + + pyVHDLModel.Expression — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Expression

+

This module contains parts of an abstract document language model for VHDL.

+

All declarations for literals, aggregates, operators forming an expressions.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Expression.BaseExpression(parent=None)[source]
+

A BaseExpression is a base-class for all expressions.

+

Inheritance

+
+

Inheritance diagram of BaseExpression

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.Literal(parent=None)[source]
+

A Literal is a base-class for all literals.

+

Inheritance

+
+

Inheritance diagram of Literal

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.NullLiteral(parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of NullLiteral

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.EnumerationLiteral(value, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of EnumerationLiteral

+
+
Parameters:
+
+
+
+
+
+__init__(value, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • value (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.NumericLiteral(parent=None)[source]
+

A NumericLiteral is a base-class for all numeric literals.

+

Inheritance

+
+

Inheritance diagram of NumericLiteral

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.IntegerLiteral(value)[source]
+

Inheritance

+
+

Inheritance diagram of IntegerLiteral

+
+
Parameters:
+

value (int)

+
+
+
+
+__init__(value)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • value (int)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.FloatingPointLiteral(value)[source]
+

Inheritance

+
+

Inheritance diagram of FloatingPointLiteral

+
+
Parameters:
+

value (float)

+
+
+
+
+__init__(value)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • value (float)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.PhysicalLiteral(unitName)[source]
+

Inheritance

+
+

Inheritance diagram of PhysicalLiteral

+
+
Parameters:
+

unitName (str)

+
+
+
+
+__init__(unitName)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • unitName (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.PhysicalIntegerLiteral(value, unitName)[source]
+

Inheritance

+
+

Inheritance diagram of PhysicalIntegerLiteral

+
+
Parameters:
+
    +
  • value (int)

  • +
  • unitName (str)

  • +
+
+
+
+
+__init__(value, unitName)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • value (int)

  • +
  • unitName (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.PhysicalFloatingLiteral(value, unitName)[source]
+

Inheritance

+
+

Inheritance diagram of PhysicalFloatingLiteral

+
+
Parameters:
+
+
+
+
+
+__init__(value, unitName)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • value (float)

  • +
  • unitName (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.CharacterLiteral(value)[source]
+

Inheritance

+
+

Inheritance diagram of CharacterLiteral

+
+
Parameters:
+

value (str)

+
+
+
+
+__init__(value)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • value (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.StringLiteral(value)[source]
+

Inheritance

+
+

Inheritance diagram of StringLiteral

+
+
Parameters:
+

value (str)

+
+
+
+
+__init__(value)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • value (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.BitStringLiteral(value)[source]
+

Inheritance

+
+

Inheritance diagram of BitStringLiteral

+
+
Parameters:
+

value (str)

+
+
+
+
+__init__(value)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • value (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ParenthesisExpression[source]
+

Inheritance

+
+

Inheritance diagram of ParenthesisExpression

+
+ +
+
+class pyVHDLModel.Expression.UnaryExpression(operand, parent=None)[source]
+

A UnaryExpression is a base-class for all unary expressions.

+

Inheritance

+
+

Inheritance diagram of UnaryExpression

+
+
Parameters:
+
+
+
+
+
+__init__(operand, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.NegationExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of NegationExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.IdentityExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of IdentityExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.InverseExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of InverseExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.UnaryAndExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of UnaryAndExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.UnaryNandExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of UnaryNandExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.UnaryOrExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of UnaryOrExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.UnaryNorExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of UnaryNorExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.UnaryXorExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of UnaryXorExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.UnaryXnorExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of UnaryXnorExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.AbsoluteExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of AbsoluteExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.TypeConversion(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of TypeConversion

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.SubExpression(operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SubExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(operand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.BinaryExpression(leftOperand, rightOperand, parent=None)[source]
+

A BinaryExpression is a base-class for all binary expressions.

+

Inheritance

+
+

Inheritance diagram of BinaryExpression

+
+
Parameters:
+
+
+
+
+
+__init__(leftOperand, rightOperand, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.RangeExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RangeExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.AscendingRangeExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of AscendingRangeExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.DescendingRangeExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of DescendingRangeExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.AddingExpression(leftOperand, rightOperand, parent=None)[source]
+

A AddingExpression is a base-class for all adding expressions.

+

Inheritance

+
+

Inheritance diagram of AddingExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.AdditionExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of AdditionExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.SubtractionExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SubtractionExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ConcatenationExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcatenationExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MultiplyingExpression(leftOperand, rightOperand, parent=None)[source]
+

A MultiplyingExpression is a base-class for all multiplying expressions.

+

Inheritance

+
+

Inheritance diagram of MultiplyingExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MultiplyExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of MultiplyExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.DivisionExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of DivisionExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.RemainderExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RemainderExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ModuloExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ModuloExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ExponentiationExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ExponentiationExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.LogicalExpression(leftOperand, rightOperand, parent=None)[source]
+

A LogicalExpression is a base-class for all logical expressions.

+

Inheritance

+
+

Inheritance diagram of LogicalExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.AndExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of AndExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.NandExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of NandExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.OrExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of OrExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.NorExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of NorExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.XorExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of XorExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.XnorExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of XnorExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.RelationalExpression(leftOperand, rightOperand, parent=None)[source]
+

A RelationalExpression is a base-class for all shifting expressions.

+

Inheritance

+
+

Inheritance diagram of RelationalExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.EqualExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of EqualExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.UnequalExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of UnequalExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.GreaterThanExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of GreaterThanExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.GreaterEqualExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of GreaterEqualExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.LessThanExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of LessThanExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.LessEqualExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of LessEqualExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MatchingRelationalExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of MatchingRelationalExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MatchingEqualExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of MatchingEqualExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MatchingUnequalExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of MatchingUnequalExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MatchingGreaterThanExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of MatchingGreaterThanExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MatchingGreaterEqualExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of MatchingGreaterEqualExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MatchingLessThanExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of MatchingLessThanExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.MatchingLessEqualExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of MatchingLessEqualExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ShiftExpression(leftOperand, rightOperand, parent=None)[source]
+

A ShiftExpression is a base-class for all shifting expressions.

+

Inheritance

+
+

Inheritance diagram of ShiftExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ShiftLogicExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ShiftLogicExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ShiftArithmeticExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ShiftArithmeticExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.RotateExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RotateExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ShiftRightLogicExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ShiftRightLogicExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ShiftLeftLogicExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ShiftLeftLogicExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ShiftRightArithmeticExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ShiftRightArithmeticExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.ShiftLeftArithmeticExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ShiftLeftArithmeticExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.RotateRightExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RotateRightExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.RotateLeftExpression(leftOperand, rightOperand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RotateLeftExpression

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(leftOperand, rightOperand, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.QualifiedExpression(subtype, operand, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of QualifiedExpression

+
+
Parameters:
+
+
+
+
+
+__init__(subtype, operand, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.TernaryExpression(parent=None)[source]
+

A TernaryExpression is a base-class for all ternary expressions.

+

Inheritance

+
+

Inheritance diagram of TernaryExpression

+
+
Parameters:
+

parent (ModelEntity)

+
+
+
+
+__init__(parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (ModelEntity) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.WhenElseExpression(parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of WhenElseExpression

+
+
Parameters:
+

parent (ModelEntity)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (ModelEntity) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.FunctionCall(parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of FunctionCall

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.Allocation(parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Allocation

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.SubtypeAllocation(subtype, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SubtypeAllocation

+
+
Parameters:
+
+
+
+
+
+__init__(subtype, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • subtype (Symbol)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.QualifiedExpressionAllocation(qualifiedExpression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of QualifiedExpressionAllocation

+
+
Parameters:
+
+
+
+
+
+__init__(qualifiedExpression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.AggregateElement(expression, parent=None)[source]
+

A AggregateElement is a base-class for all aggregate elements.

+

Inheritance

+
+

Inheritance diagram of AggregateElement

+
+
Parameters:
+
+
+
+
+
+__init__(expression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.SimpleAggregateElement(expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SimpleAggregateElement

+
+
Parameters:
+
+
+
+
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(expression, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.IndexedAggregateElement(index, expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of IndexedAggregateElement

+
+
Parameters:
+
+
+
+
+
+__init__(index, expression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Expression.RangedAggregateElement(rng, expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RangedAggregateElement

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(rng, expression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+
+class pyVHDLModel.Expression.NamedAggregateElement(name, expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of NamedAggregateElement

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(name, expression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+
+class pyVHDLModel.Expression.OthersAggregateElement(expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of OthersAggregateElement

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(expression, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+
+class pyVHDLModel.Expression.Aggregate(elements, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Aggregate

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(elements, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.IEEE.html b/pyVHDLModel/pyVHDLModel.IEEE.html new file mode 100644 index 000000000..f71653be7 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.IEEE.html @@ -0,0 +1,10599 @@ + + + + + + + pyVHDLModel.IEEE — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.IEEE

+

This module contains library and package declarations for VHDL library IEEE.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.IEEE.Ieee[source]
+

Predefined VHDL library ieee.

+

The following predefined packages are in this library:

+ +
+

See also

+
+
Other predefined libraries:
    +
  • Library Std

  • +
+
+
+
+

Inheritance

+
+

Inheritance diagram of Ieee

+
+
+
+
+__init__()[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+property Architectures: Dict[str, Dict[str, Architecture]]
+

Returns a list of all architectures declarations declared in this library.

+
+ +
+
+property Configurations: Dict[str, Configuration]
+

Returns a list of all configuration declarations declared in this library.

+
+ +
+
+property Contexts: Dict[str, Context]
+

Returns a list of all context declarations declared in this library.

+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this library by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Entities: Dict[str, Entity]
+

Returns a list of all entity declarations declared in this library.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexArchitectures()
+

Index declared items in all architectures.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all architectures:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
+
+
+ +
+
+IndexEntities()
+

Index declared items in all entities.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all entities:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexPackageBodies()
+

Index declared items in all package bodies.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all package bodies:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexPackages()
+

Index declared items in all packages.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all packages:

    + +
  2. +
+
+

See also

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IterateDesignUnits(filter=<DesignUnitKind.All: 63>)
+

Iterate all design units in the library.

+

A union of DesignUnitKind values can be given to filter the returned result for suitable design units.

+

Algorithm

+
    +
  1. Iterate all contexts in that library.

  2. +
  3. Iterate all packages in that library.

  4. +
  5. Iterate all package bodies in that library.

  6. +
  7. Iterate all entites in that library.

  8. +
  9. Iterate all architectures in that library.

  10. +
  11. Iterate all configurations in that library.

  12. +
+
+
Parameters:
+

filter (DesignUnitKind) – An enumeration with possibly multiple flags to filter the returned design units.

+
+
Return type:
+

Generator[DesignUnit, None, None]

+
+
Returns:
+

A generator to iterate all matched design units in the library.

+
+
+
+

See also

+
+
pyVHDLModel.Design.IterateDesignUnits()

Iterate all design units in the design.

+
+
pyVHDLModel.Document.IterateDesignUnits()

Iterate all design units in the document.

+
+
+
+
+ +
+
+LinkArchitectures()
+

Link all architectures to corresponding entities.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all architecture groups (grouped per entity symbol’s name).

    +
      +
    • Check if entity symbol’s name exists as an entity in this library.

    • +
    +
      +
    1. For each architecture in the same architecture group:

      +
        +
      • Add architecture to entities architecture dictionary pyVHDLModel.DesignUnit.Entity._architectures.

      • +
      • Assign found entity to architecture’s entity symbol pyVHDLModel.DesignUnit.Architecture._entity

      • +
      • Set parent namespace of architecture’s namespace to the entitie’s namespace.

      • +
      • Add an edge in the dependency graph from the architecture’s corresponding dependency vertex to the entity’s corresponding dependency vertex.

      • +
      +
    2. +
    +
  2. +
+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+

See also

+
+
LinkPackageBodies()

Link all package bodies to corresponding packages.

+
+
+
+
+ +
+
+LinkPackageBodies()
+

Link all package bodies to corresponding packages.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all package bodies.

    +
      +
    • Check if package body symbol’s name exists as a package in this library.

    • +
    • Add package body to package pyVHDLModel.DesignUnit.Package._packageBody.

    • +
    • Assign found package to package body’s package symbol pyVHDLModel.DesignUnit.PackageBody._package

    • +
    • Set parent namespace of package body’s namespace to the package’s namespace.

    • +
    • Add an edge in the dependency graph from the package body’s corresponding dependency vertex to the package’s corresponding dependency vertex.

    • +
    +
  2. +
+
+
Raises:
+

VHDLModelException – If package name doesn’t exist.

+
+
Return type:
+

None

+
+
+
+

See also

+
+
LinkArchitectures()

Link all architectures to corresponding entities.

+
+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageBodies: Dict[str, PackageBody]
+

Returns a list of all package body declarations declared in this library.

+
+ +
+
+property Packages: Dict[str, Package]
+

Returns a list of all package declarations declared in this library.

+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Formats a representation of the library.

+

Format: Library: 'my_library'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the library.

+
+
+
+ +
+
+__str__()
+

Formats a representation of the library.

+

Format: Library: 'my_library'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the library.

+
+
+
+ +
+
+_architectures: Dict[str, Dict[str, Architecture]]
+

Dictionary of all architectures defined in a library.

+
+ +
+
+_configurations: Dict[str, Configuration]
+

Dictionary of all configurations defined in a library.

+
+ +
+
+_contexts: Dict[str, Context]
+

Dictionary of all contexts defined in a library.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, Union['Library', DesignUnit], None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the library.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_entities: Dict[str, Entity]
+

Dictionary of all entities defined in a library.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageBodies: Dict[str, PackageBody]
+

Dictionary of all package bodies defined in a library.

+
+ +
+
+_packages: Dict[str, Package]
+

Dictionary of all packages defined in a library.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Math_Real[source]
+

Predefined package ieee.math_real.

+

Inheritance

+
+

Inheritance diagram of Math_Real

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Math_Real_Body[source]
+

Predefined package body of package ieee.math_real.

+

Inheritance

+
+

Inheritance diagram of Math_Real_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Math_Complex[source]
+

Predefined package ieee.math_complex.

+

Inheritance

+
+

Inheritance diagram of Math_Complex

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Math_Complex_Body[source]
+

Predefined package body of package ieee.math_complex.

+

Inheritance

+
+

Inheritance diagram of Math_Complex_Body

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Std_logic_1164[source]
+

Predefined package ieee.std_logic_1164.

+

Predefined types:

+
    +
  • std_ulogic, std_ulogic_vector

  • +
  • std_logic, std_logic_vector

  • +
+

Inheritance

+
+

Inheritance diagram of Std_logic_1164

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Std_logic_1164_Body[source]
+

Predefined package body of package ieee.std_logic_1164.

+

Inheritance

+
+

Inheritance diagram of Std_logic_1164_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.std_logic_textio[source]
+

Predefined package ieee.std_logic_textio.

+

Inheritance

+
+

Inheritance diagram of std_logic_textio

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Std_logic_misc[source]
+

Predefined package ieee.std_logic_misc.

+

Inheritance

+
+

Inheritance diagram of Std_logic_misc

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Std_logic_misc_Body[source]
+

Predefined package body of package ieee.std_logic_misc.

+

Inheritance

+
+

Inheritance diagram of Std_logic_misc_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Numeric_Bit[source]
+

Predefined package ieee.numeric_bit.

+

Inheritance

+
+

Inheritance diagram of Numeric_Bit

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Numeric_Bit_Body[source]
+

Predefined package body of package ieee.numeric_bit.

+

Inheritance

+
+

Inheritance diagram of Numeric_Bit_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Numeric_Bit_Unsigned[source]
+

Predefined package ieee.numeric_bit_unsigned.

+

Inheritance

+
+

Inheritance diagram of Numeric_Bit_Unsigned

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body[source]
+

Predefined package body of package ieee.numeric_bit_unsigned.

+

Inheritance

+
+

Inheritance diagram of Numeric_Bit_Unsigned_Body

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Numeric_Std[source]
+

Predefined package ieee.numeric_std.

+

Predefined types:

+
    +
  • unresolved_unsigned, unsigned

  • +
  • unresolved_signed, signed

  • +
+

Inheritance

+
+

Inheritance diagram of Numeric_Std

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Numeric_Std_Body[source]
+

Predefined package body of package ieee.numeric_std.

+

Inheritance

+
+

Inheritance diagram of Numeric_Std_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Numeric_Std_Unsigned[source]
+

Predefined package ieee.numeric_std_unsigned.

+

Inheritance

+
+

Inheritance diagram of Numeric_Std_Unsigned

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body[source]
+

Predefined package body of package ieee.numeric_std_unsigned.

+

Inheritance

+
+

Inheritance diagram of Numeric_Std_Unsigned_Body

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Fixed_Float_Types[source]
+

Predefined package ieee.fixed_float_types.

+

Inheritance

+
+

Inheritance diagram of Fixed_Float_Types

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Fixed_Generic_Pkg[source]
+

Predefined package ieee.fixed_generic_pkg.

+

Inheritance

+
+

Inheritance diagram of Fixed_Generic_Pkg

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body[source]
+

Predefined package body of package ieee.fixed_generic_pkg.

+

Inheritance

+
+

Inheritance diagram of Fixed_Generic_Pkg_Body

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Fixed_Pkg[source]
+

Predefined package ieee.fixed_pkg.

+

Inheritance

+
+

Inheritance diagram of Fixed_Pkg

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Float_Generic_Pkg[source]
+

Predefined package ieee.float_generic_pkg.

+

Inheritance

+
+

Inheritance diagram of Float_Generic_Pkg

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Float_Generic_Pkg_Body[source]
+

Predefined package body of package ieee.float_generic_pkg.

+

Inheritance

+
+

Inheritance diagram of Float_Generic_Pkg_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.IEEE.Float_Pkg[source]
+

Predefined package ieee.float_pkg.

+

Inheritance

+
+

Inheritance diagram of Float_Pkg

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Instantiation.html b/pyVHDLModel/pyVHDLModel.Instantiation.html new file mode 100644 index 000000000..5eefe6479 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Instantiation.html @@ -0,0 +1,931 @@ + + + + + + + pyVHDLModel.Instantiation — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Instantiation

+

This module contains parts of an abstract document language model for VHDL.

+

Instantiations of packages, procedures, functions and protected types.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Instantiation.GenericInstantiationMixin[source]
+

Inheritance

+
+

Inheritance diagram of GenericInstantiationMixin

+
+
+
+
+__init__()[source]
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Instantiation.GenericEntityInstantiationMixin[source]
+

Inheritance

+
+

Inheritance diagram of GenericEntityInstantiationMixin

+
+
+
+
+__init__()[source]
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Instantiation.SubprogramInstantiationMixin[source]
+

Inheritance

+
+

Inheritance diagram of SubprogramInstantiationMixin

+
+
+
+
+__init__()[source]
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Instantiation.ProcedureInstantiation(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ProcedureInstantiation

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, documentation=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Instantiation.FunctionInstantiation(identifier, isPure=True, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of FunctionInstantiation

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, isPure=True, documentation=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • isPure (bool)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Instantiation.PackageInstantiation(identifier, uninstantiatedPackage, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of PackageInstantiation

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, uninstantiatedPackage, documentation=None, parent=None)[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier (str) – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation (Optional[str]) – Associated documentation of the design unit.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • uninstantiatedPackage (PackageReferenceSymbol)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Interface.html b/pyVHDLModel/pyVHDLModel.Interface.html new file mode 100644 index 000000000..8d6f041c9 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Interface.html @@ -0,0 +1,2115 @@ + + + + + + + pyVHDLModel.Interface — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Interface

+

This module contains parts of an abstract document language model for VHDL.

+

Interface items are used in generic, port and parameter declarations.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Interface.InterfaceItemMixin(documentation=None)[source]
+

An InterfaceItem is a base-class for all mixin-classes for all interface items.

+

Inheritance

+
+

Inheritance diagram of InterfaceItemMixin

+
+
Parameters:
+

documentation (str | None)

+
+
+
+
+__init__(documentation=None)[source]
+

Initializes a documented entity.

+
+
Parameters:
+

documentation (Optional[str]) – Documentation of a model entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+ +
+
+class pyVHDLModel.Interface.InterfaceItemWithModeMixin(mode)[source]
+

An InterfaceItemWithMode is a mixin-class to provide a Mode to interface items.

+

Inheritance

+
+

Inheritance diagram of InterfaceItemWithModeMixin

+
+
Parameters:
+

mode (Mode)

+
+
+
+
+__init__(mode)[source]
+
+
Parameters:
+

mode (Mode)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Interface.GenericInterfaceItemMixin(documentation=None)[source]
+

A GenericInterfaceItem is a mixin class for all generic interface items.

+

Inheritance

+
+

Inheritance diagram of GenericInterfaceItemMixin

+
+
Parameters:
+

documentation (str | None)

+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(documentation=None)
+

Initializes a documented entity.

+
+
Parameters:
+

documentation (Optional[str]) – Documentation of a model entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+ +
+
+class pyVHDLModel.Interface.PortInterfaceItemMixin(mode)[source]
+

A PortInterfaceItem is a mixin class for all port interface items.

+

Inheritance

+
+

Inheritance diagram of PortInterfaceItemMixin

+
+
Parameters:
+

mode (Mode)

+
+
+
+
+__init__(mode)[source]
+

Initializes a documented entity.

+
+
Parameters:
+
    +
  • documentation – Documentation of a model entity.

  • +
  • mode (Mode)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+ +
+
+class pyVHDLModel.Interface.ParameterInterfaceItemMixin(documentation=None)[source]
+

A ParameterInterfaceItem is a mixin class for all parameter interface items.

+

Inheritance

+
+

Inheritance diagram of ParameterInterfaceItemMixin

+
+
Parameters:
+

documentation (str | None)

+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(documentation=None)
+

Initializes a documented entity.

+
+
Parameters:
+

documentation (Optional[str]) – Documentation of a model entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+ +
+
+class pyVHDLModel.Interface.GenericConstantInterfaceItem(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of GenericConstantInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Interface.GenericTypeInterfaceItem(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of GenericTypeInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, documentation=None, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Interface.GenericSubprogramInterfaceItem(documentation=None)[source]
+

Inheritance

+
+

Inheritance diagram of GenericSubprogramInterfaceItem

+
+
Parameters:
+

documentation (str | None)

+
+
+
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(documentation=None)
+

Initializes a documented entity.

+
+
Parameters:
+

documentation (Optional[str]) – Documentation of a model entity.

+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Interface.GenericProcedureInterfaceItem(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of GenericProcedureInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Interface.GenericFunctionInterfaceItem(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of GenericFunctionInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Interface.GenericPackageInterfaceItem(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of GenericPackageInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, documentation=None, parent=None)[source]
+

Initializes a documented entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Interface.PortSignalInterfaceItem(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of PortSignalInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Interface.ParameterConstantInterfaceItem(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ParameterConstantInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Interface.ParameterVariableInterfaceItem(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ParameterVariableInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Interface.ParameterSignalInterfaceItem(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ParameterSignalInterfaceItem

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, mode, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Interface.ParameterFileInterfaceItem(identifiers, subtype, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ParameterFileInterfaceItem

+
+
Parameters:
+
+
+
+
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifiers, subtype, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Name.html b/pyVHDLModel/pyVHDLModel.Name.html new file mode 100644 index 000000000..eb4df7efb --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Name.html @@ -0,0 +1,1743 @@ + + + + + + + pyVHDLModel.Name — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Name

+

This module contains parts of an abstract document language model for VHDL.

+

VHDL uses names to express cross-references from usage locations to declarations. Here, names are single or +combined identifiers. Symbols are structures representing a name and a reference +(pointer) to the referenced vhdl language entity.

+

Classes

+
    +
  • Name: +Name is the base-class for all names in the VHDL language model.

  • +
  • SimpleName: +A simple name is a name made from a single word.

  • +
  • ParenthesisName: +Name is the base-class for all names in the VHDL language model.

  • +
  • IndexedName: +Name is the base-class for all names in the VHDL language model.

  • +
  • SlicedName: +Name is the base-class for all names in the VHDL language model.

  • +
  • SelectedName: +A selected name is a name made from multiple words separated by a dot (.).

  • +
  • AttributeName: +Name is the base-class for all names in the VHDL language model.

  • +
  • AllName: +The all name represents the reserved word all used in names.

  • +
  • OpenName: +The open name represents the reserved word open.

  • +
+
+

Classes

+
+
+class pyVHDLModel.Name.Name(identifier, prefix=None, parent=None)[source]
+

Name is the base-class for all names in the VHDL language model.

+

Inheritance

+
+

Inheritance diagram of Name

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, prefix=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • prefix (Name | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+__repr__()[source]
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Name.SimpleName(identifier, prefix=None, parent=None)[source]
+

A simple name is a name made from a single word.

+

For example, the entity name in an architecture declaration is a simple name, while the name of the architecture +itself is an identifier. The simple name references is again an identifier in the entity declaration, thus names +reference other (already) declared language entities.

+

Inheritance

+
+

Inheritance diagram of SimpleName

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+__init__(identifier, prefix=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • prefix (Name | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Name.ParenthesisName(prefix, associations, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ParenthesisName

+
+
Parameters:
+
+
+
+
+
+__init__(prefix, associations, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Name.IndexedName(prefix, indices, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of IndexedName

+
+
Parameters:
+
+
+
+
+
+__init__(prefix, indices, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Name.SlicedName(identifier, prefix=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SlicedName

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+__init__(identifier, prefix=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • prefix (Name | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Name.SelectedName(identifier, prefix, parent=None)[source]
+

A selected name is a name made from multiple words separated by a dot (.).

+

For example, the library and entity name in a direct entity instantiation is a selected name. Here the entity +identifier is a selected name. The library identifier is a simple name, which is +referenced by the selected name via the Prefix property.

+

Inheritance

+
+

Inheritance diagram of SelectedName

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, prefix, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • prefix (Name)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Name.AttributeName(identifier, prefix, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of AttributeName

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifier, prefix, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • prefix (Name)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+
+class pyVHDLModel.Name.AllName(prefix, parent=None)[source]
+

The all name represents the reserved word all used in names.

+

Most likely this name is used in use-statements.

+

Inheritance

+
+

Inheritance diagram of AllName

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(prefix, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • prefix (Name)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Name.OpenName(parent=None)[source]
+

The open name represents the reserved word open.

+

Most likely this name is used in port associations.

+

Inheritance

+
+

Inheritance diagram of OpenName

+
+
Parameters:
+

parent (ModelEntity)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HasPrefix: bool
+

Returns true, if the name has a prefix.

+

This is true for all names except simple names.

+
+
Returns:
+

True, if the name as a prefix.

+
+
+
+ +
+
+property Identifier: str
+

The identifier the name is referencing.

+
+
Returns:
+

The referenced identifier.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

The normalized identifier the name is referencing.

+
+
Returns:
+

The referenced identifier (normalized).

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Prefix: Name | None
+

The name’s prefix in a chain of names.

+
+
Returns:
+

The name left from current name, if not a simple name, otherwise None.

+
+
+
+ +
+
+property Root: Name
+

The root (left-most) element in a chain of names.

+

In case the name is a simple name, the root points to the name itself.

+
+
Returns:
+

The name’s root element.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (ModelEntity) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Namespace.html b/pyVHDLModel/pyVHDLModel.Namespace.html new file mode 100644 index 000000000..8080a572f --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Namespace.html @@ -0,0 +1,201 @@ + + + + + + + pyVHDLModel.Namespace — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Namespace

+

This module contains parts of an abstract document language model for VHDL.

+

A helper class to implement namespaces and scopes.

+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Object.html b/pyVHDLModel/pyVHDLModel.Object.html new file mode 100644 index 000000000..b27b6d12e --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Object.html @@ -0,0 +1,1584 @@ + + + + + + + pyVHDLModel.Object — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Object

+

This module contains parts of an abstract document language model for VHDL.

+

Objects are constants, variables, signals and files.

+

Classes

+
    +
  • Obj: +Base-class for all objects (constants, signals, variables and files) in VHDL.

  • +
  • WithDefaultExpressionMixin: +A WithDefaultExpression is a mixin-class for all objects declarations accepting default expressions.

  • +
  • BaseConstant: +Base-class for all constants (normal and deferred constants) in VHDL.

  • +
  • Constant: +Represents a constant.

  • +
  • DeferredConstant: +Represents a deferred constant.

  • +
  • Variable: +Represents a variable.

  • +
  • SharedVariable: +Represents a shared variable.

  • +
  • Signal: +Represents a signal.

  • +
  • File: +Represents a file.

  • +
+
+

Classes

+
+
+class pyVHDLModel.Object.Obj(identifiers, subtype, documentation=None, parent=None)[source]
+

Base-class for all objects (constants, signals, variables and files) in VHDL.

+

An object (syntax element) can define multiple objects (semantic elements) in a single declaration, thus +MultipleNamedEntityMixin is inherited. All objects can be documented, thus +DocumentedEntityMixin is inherited too.

+

Each object references a subtype via _subtype.

+

Objects are elements in the type and object graph, thus a reference to a vertex in that graph is stored in +__objectVertex.

+

Inheritance

+
+

Inheritance diagram of Obj

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, subtype, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Object.WithDefaultExpressionMixin(defaultExpression=None)[source]
+

A WithDefaultExpression is a mixin-class for all objects declarations accepting default expressions.

+

The default expression is referenced by __defaultExpression. If no default expression is present, this field +is None.

+

Inheritance

+
+

Inheritance diagram of WithDefaultExpressionMixin

+
+
Parameters:
+

defaultExpression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal | None)

+
+
+
+
+__init__(defaultExpression=None)[source]
+
+
Parameters:
+

defaultExpression (BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal | None)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Object.BaseConstant(identifiers, subtype, documentation=None, parent=None)[source]
+

Base-class for all constants (normal and deferred constants) in VHDL.

+

Inheritance

+
+

Inheritance diagram of BaseConstant

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifiers, subtype, documentation=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Object.Constant(identifiers, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Represents a constant.

+

As constants (always) have a default expression, the class WithDefaultExpressionMixin is inherited.

+
+

Example

+
constant BITS : positive := 8;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Constant

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Object.DeferredConstant(identifiers, subtype, documentation=None, parent=None)[source]
+

Represents a deferred constant.

+

Deferred constants are forward declarations for a (complete) constant declaration, thus it contains a +field __constantReference to the complete constant declaration.

+
+

Example

+
constant BITS : positive;
+
+
+
+

Inheritance

+
+

Inheritance diagram of DeferredConstant

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, subtype, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Object.Variable(identifiers, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Represents a variable.

+

As variables might have a default expression, the class WithDefaultExpressionMixin is inherited.

+
+

Example

+
variable result : natural := 0;
+
+
+
+

Inheritance

+
+

Inheritance diagram of Variable

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Object.SharedVariable(identifiers, subtype, documentation=None, parent=None)[source]
+

Represents a shared variable.

+
+

Todo

+

Shared variable object not implemented.

+
+

Inheritance

+
+

Inheritance diagram of SharedVariable

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifiers, subtype, documentation=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Object.Signal(identifiers, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Represents a signal.

+

As signals might have a default expression, the class WithDefaultExpressionMixin is inherited.

+
+

Example

+
signal counter : unsigned(7 downto 0) := '0';
+
+
+
+

Inheritance

+
+

Inheritance diagram of Signal

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifiers, subtype, defaultExpression=None, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Object.File(identifiers, subtype, documentation=None, parent=None)[source]
+

Represents a file.

+
+

Todo

+

File object not implemented.

+
+

Inheritance

+
+

Inheritance diagram of File

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property ObjectVertex: Vertex | None
+

Read-only property to access the corresponding object vertex (_objectVertex).

+

The object vertex references this Object by its value field.

+
+
Returns:
+

The corresponding object vertex.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifiers, subtype, documentation=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.PSLModel.html b/pyVHDLModel/pyVHDLModel.PSLModel.html new file mode 100644 index 000000000..15389c515 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.PSLModel.html @@ -0,0 +1,1537 @@ + + + + + + + pyVHDLModel.PSLModel — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.PSLModel

+

This module contains an abstract document language model for PSL in VHDL.

+

Classes

+
    +
  • PSLEntity: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
  • PSLPrimaryUnit: +A base-class for all primary design units.

  • +
  • VerificationUnit: +A base-class for all primary design units.

  • +
  • VerificationProperty: +A base-class for all primary design units.

  • +
  • VerificationMode: +A base-class for all primary design units.

  • +
  • DefaultClock: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
+
+

Classes

+
+
+class pyVHDLModel.PSLModel.PSLEntity(parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of PSLEntity

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.PSLModel.PSLPrimaryUnit(identifier, contextItems=None, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of PSLPrimaryUnit

+
+
Parameters:
+
+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, contextItems=None, documentation=None, parent=None)
+

Initializes a design unit.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.PSLModel.VerificationUnit(identifier)[source]
+

Inheritance

+
+

Inheritance diagram of VerificationUnit

+
+
Parameters:
+

identifier (str)

+
+
+
+
+__init__(identifier)[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier (str) – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.PSLModel.VerificationProperty(identifier)[source]
+

Inheritance

+
+

Inheritance diagram of VerificationProperty

+
+
Parameters:
+

identifier (str)

+
+
+
+
+__init__(identifier)[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier (str) – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.PSLModel.VerificationMode(identifier)[source]
+

Inheritance

+
+

Inheritance diagram of VerificationMode

+
+
Parameters:
+

identifier (str)

+
+
+
+
+__init__(identifier)[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier (str) – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+ +
+
+class pyVHDLModel.PSLModel.DefaultClock(identifier)[source]
+

Inheritance

+
+

Inheritance diagram of DefaultClock

+
+
Parameters:
+

identifier (str)

+
+
+
+
+__init__(identifier)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Predefined.html b/pyVHDLModel/pyVHDLModel.Predefined.html new file mode 100644 index 000000000..f840af525 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Predefined.html @@ -0,0 +1,1570 @@ + + + + + + + pyVHDLModel.Predefined — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Predefined

+

This module contains base-classes for predefined library and package declarations.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Predefined.PredefinedLibrary(packages)[source]
+

A base-class for predefined VHDL libraries.

+

VHDL defines 2 predefined libraries:

+ +

Inheritance

+
+

Inheritance diagram of PredefinedLibrary

+
+
+
+
+__init__(packages)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+property Architectures: Dict[str, Dict[str, Architecture]]
+

Returns a list of all architectures declarations declared in this library.

+
+ +
+
+property Configurations: Dict[str, Configuration]
+

Returns a list of all configuration declarations declared in this library.

+
+ +
+
+property Contexts: Dict[str, Context]
+

Returns a list of all context declarations declared in this library.

+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this library by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Entities: Dict[str, Entity]
+

Returns a list of all entity declarations declared in this library.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexArchitectures()
+

Index declared items in all architectures.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all architectures:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
+
+
+ +
+
+IndexEntities()
+

Index declared items in all entities.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all entities:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexPackageBodies()
+

Index declared items in all package bodies.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all package bodies:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexPackages()
+

Index declared items in all packages.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all packages:

    + +
  2. +
+
+

See also

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IterateDesignUnits(filter=<DesignUnitKind.All: 63>)
+

Iterate all design units in the library.

+

A union of DesignUnitKind values can be given to filter the returned result for suitable design units.

+

Algorithm

+
    +
  1. Iterate all contexts in that library.

  2. +
  3. Iterate all packages in that library.

  4. +
  5. Iterate all package bodies in that library.

  6. +
  7. Iterate all entites in that library.

  8. +
  9. Iterate all architectures in that library.

  10. +
  11. Iterate all configurations in that library.

  12. +
+
+
Parameters:
+

filter (DesignUnitKind) – An enumeration with possibly multiple flags to filter the returned design units.

+
+
Return type:
+

Generator[DesignUnit, None, None]

+
+
Returns:
+

A generator to iterate all matched design units in the library.

+
+
+
+

See also

+
+
pyVHDLModel.Design.IterateDesignUnits()

Iterate all design units in the design.

+
+
pyVHDLModel.Document.IterateDesignUnits()

Iterate all design units in the document.

+
+
+
+
+ +
+
+LinkArchitectures()
+

Link all architectures to corresponding entities.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all architecture groups (grouped per entity symbol’s name).

    +
      +
    • Check if entity symbol’s name exists as an entity in this library.

    • +
    +
      +
    1. For each architecture in the same architecture group:

      +
        +
      • Add architecture to entities architecture dictionary pyVHDLModel.DesignUnit.Entity._architectures.

      • +
      • Assign found entity to architecture’s entity symbol pyVHDLModel.DesignUnit.Architecture._entity

      • +
      • Set parent namespace of architecture’s namespace to the entitie’s namespace.

      • +
      • Add an edge in the dependency graph from the architecture’s corresponding dependency vertex to the entity’s corresponding dependency vertex.

      • +
      +
    2. +
    +
  2. +
+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+

See also

+
+
LinkPackageBodies()

Link all package bodies to corresponding packages.

+
+
+
+
+ +
+
+LinkPackageBodies()
+

Link all package bodies to corresponding packages.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all package bodies.

    +
      +
    • Check if package body symbol’s name exists as a package in this library.

    • +
    • Add package body to package pyVHDLModel.DesignUnit.Package._packageBody.

    • +
    • Assign found package to package body’s package symbol pyVHDLModel.DesignUnit.PackageBody._package

    • +
    • Set parent namespace of package body’s namespace to the package’s namespace.

    • +
    • Add an edge in the dependency graph from the package body’s corresponding dependency vertex to the package’s corresponding dependency vertex.

    • +
    +
  2. +
+
+
Raises:
+

VHDLModelException – If package name doesn’t exist.

+
+
Return type:
+

None

+
+
+
+

See also

+
+
LinkArchitectures()

Link all architectures to corresponding entities.

+
+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageBodies: Dict[str, PackageBody]
+

Returns a list of all package body declarations declared in this library.

+
+ +
+
+property Packages: Dict[str, Package]
+

Returns a list of all package declarations declared in this library.

+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Formats a representation of the library.

+

Format: Library: 'my_library'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the library.

+
+
+
+ +
+
+__str__()
+

Formats a representation of the library.

+

Format: Library: 'my_library'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the library.

+
+
+
+ +
+
+_architectures: Dict[str, Dict[str, Architecture]]
+

Dictionary of all architectures defined in a library.

+
+ +
+
+_configurations: Dict[str, Configuration]
+

Dictionary of all configurations defined in a library.

+
+ +
+
+_contexts: Dict[str, Context]
+

Dictionary of all contexts defined in a library.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, Union['Library', DesignUnit], None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the library.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_entities: Dict[str, Entity]
+

Dictionary of all entities defined in a library.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageBodies: Dict[str, PackageBody]
+

Dictionary of all package bodies defined in a library.

+
+ +
+
+_packages: Dict[str, Package]
+

Dictionary of all packages defined in a library.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Predefined.PredefinedPackageMixin[source]
+

A mixin-class for predefined VHDL packages and package bodies.

+

Inheritance

+
+

Inheritance diagram of PredefinedPackageMixin

+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Predefined.PredefinedPackage[source]
+

A base-class for predefined VHDL packages.

+

Inheritance

+
+

Inheritance diagram of PredefinedPackage

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.Predefined.PredefinedPackageBody[source]
+

A base-class for predefined VHDL package bodies.

+

Inheritance

+
+

Inheritance diagram of PredefinedPackageBody

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Regions.html b/pyVHDLModel/pyVHDLModel.Regions.html new file mode 100644 index 000000000..2de83d20f --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Regions.html @@ -0,0 +1,369 @@ + + + + + + + pyVHDLModel.Regions — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Regions

+

This module contains parts of an abstract document language model for VHDL.

+

tbd.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin(declaredItems=None)[source]
+

Inheritance

+
+

Inheritance diagram of ConcurrentDeclarationRegionMixin

+
+
Parameters:
+

declaredItems (Iterable | None)

+
+
+
+
+__init__(declaredItems=None)[source]
+
+
Parameters:
+

declaredItems (Iterable | None)

+
+
Return type:
+

None

+
+
+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+IndexDeclaredItems()[source]
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.STD.html b/pyVHDLModel/pyVHDLModel.STD.html new file mode 100644 index 000000000..20269942c --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.STD.html @@ -0,0 +1,3217 @@ + + + + + + + pyVHDLModel.STD — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.STD

+

This module contains library and package declarations for VHDL library STD.

+

Classes

+
    +
  • Std: +Predefined VHDL library std.

  • +
  • Standard: +Predefined package std.standard.

  • +
  • Standard_Body: +Predefined package body of package std.standard.

  • +
  • TextIO: +Predefined package std.textio.

  • +
  • TextIO_Body: +Predefined package body of package std.textio.

  • +
  • Env: +Predefined package std.env.

  • +
  • Env_Body: +Predefined package body of package std.env.

  • +
+
+

Classes

+
+
+class pyVHDLModel.STD.Std[source]
+

Predefined VHDL library std.

+

The following predefined packages are in this library:

+ +
+

See also

+
+
Other predefined libraries:
+
+
+
+

Inheritance

+
+

Inheritance diagram of Std

+
+
+
+
+__init__()[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+property Architectures: Dict[str, Dict[str, Architecture]]
+

Returns a list of all architectures declarations declared in this library.

+
+ +
+
+property Configurations: Dict[str, Configuration]
+

Returns a list of all configuration declarations declared in this library.

+
+ +
+
+property Contexts: Dict[str, Context]
+

Returns a list of all context declarations declared in this library.

+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this library by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Entities: Dict[str, Entity]
+

Returns a list of all entity declarations declared in this library.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexArchitectures()
+

Index declared items in all architectures.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all architectures:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
+
+
+ +
+
+IndexEntities()
+

Index declared items in all entities.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all entities:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexPackageBodies()
+

Index declared items in all package bodies.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all package bodies:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexPackages()
+

Index declared items in all packages.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all packages:

    + +
  2. +
+
+

See also

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IterateDesignUnits(filter=<DesignUnitKind.All: 63>)
+

Iterate all design units in the library.

+

A union of DesignUnitKind values can be given to filter the returned result for suitable design units.

+

Algorithm

+
    +
  1. Iterate all contexts in that library.

  2. +
  3. Iterate all packages in that library.

  4. +
  5. Iterate all package bodies in that library.

  6. +
  7. Iterate all entites in that library.

  8. +
  9. Iterate all architectures in that library.

  10. +
  11. Iterate all configurations in that library.

  12. +
+
+
Parameters:
+

filter (DesignUnitKind) – An enumeration with possibly multiple flags to filter the returned design units.

+
+
Return type:
+

Generator[DesignUnit, None, None]

+
+
Returns:
+

A generator to iterate all matched design units in the library.

+
+
+
+

See also

+
+
pyVHDLModel.Design.IterateDesignUnits()

Iterate all design units in the design.

+
+
pyVHDLModel.Document.IterateDesignUnits()

Iterate all design units in the document.

+
+
+
+
+ +
+
+LinkArchitectures()
+

Link all architectures to corresponding entities.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all architecture groups (grouped per entity symbol’s name).

    +
      +
    • Check if entity symbol’s name exists as an entity in this library.

    • +
    +
      +
    1. For each architecture in the same architecture group:

      +
        +
      • Add architecture to entities architecture dictionary pyVHDLModel.DesignUnit.Entity._architectures.

      • +
      • Assign found entity to architecture’s entity symbol pyVHDLModel.DesignUnit.Architecture._entity

      • +
      • Set parent namespace of architecture’s namespace to the entitie’s namespace.

      • +
      • Add an edge in the dependency graph from the architecture’s corresponding dependency vertex to the entity’s corresponding dependency vertex.

      • +
      +
    2. +
    +
  2. +
+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+

See also

+
+
LinkPackageBodies()

Link all package bodies to corresponding packages.

+
+
+
+
+ +
+
+LinkPackageBodies()
+

Link all package bodies to corresponding packages.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all package bodies.

    +
      +
    • Check if package body symbol’s name exists as a package in this library.

    • +
    • Add package body to package pyVHDLModel.DesignUnit.Package._packageBody.

    • +
    • Assign found package to package body’s package symbol pyVHDLModel.DesignUnit.PackageBody._package

    • +
    • Set parent namespace of package body’s namespace to the package’s namespace.

    • +
    • Add an edge in the dependency graph from the package body’s corresponding dependency vertex to the package’s corresponding dependency vertex.

    • +
    +
  2. +
+
+
Raises:
+

VHDLModelException – If package name doesn’t exist.

+
+
Return type:
+

None

+
+
+
+

See also

+
+
LinkArchitectures()

Link all architectures to corresponding entities.

+
+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageBodies: Dict[str, PackageBody]
+

Returns a list of all package body declarations declared in this library.

+
+ +
+
+property Packages: Dict[str, Package]
+

Returns a list of all package declarations declared in this library.

+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Formats a representation of the library.

+

Format: Library: 'my_library'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the library.

+
+
+
+ +
+
+__str__()
+

Formats a representation of the library.

+

Format: Library: 'my_library'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the library.

+
+
+
+ +
+
+_architectures: Dict[str, Dict[str, Architecture]]
+

Dictionary of all architectures defined in a library.

+
+ +
+
+_configurations: Dict[str, Configuration]
+

Dictionary of all configurations defined in a library.

+
+ +
+
+_contexts: Dict[str, Context]
+

Dictionary of all contexts defined in a library.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, Union['Library', DesignUnit], None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the library.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_entities: Dict[str, Entity]
+

Dictionary of all entities defined in a library.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageBodies: Dict[str, PackageBody]
+

Dictionary of all package bodies defined in a library.

+
+ +
+
+_packages: Dict[str, Package]
+

Dictionary of all packages defined in a library.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.STD.Standard[source]
+

Predefined package std.standard.

+

Predefined types:

+
    +
  • boolean, boolean_vector

  • +
  • bit, bit_vector

  • +
  • character, string

  • +
  • integer, integer_vector

  • +
  • natural, positive

  • +
  • real, real_vector

  • +
  • time, time_vector

  • +
  • open_file_kind, open_file_status

  • +
+
+

See also

+

Matching Package Body declaration.

+
+

Inheritance

+
+

Inheritance diagram of Standard

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.STD.Standard_Body[source]
+

Predefined package body of package std.standard.

+
+

See also

+

Matching Package declaration.

+
+

Inheritance

+
+

Inheritance diagram of Standard_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.STD.TextIO[source]
+

Predefined package std.textio.

+
+

See also

+

Matching Package Body declaration.

+
+

Inheritance

+
+

Inheritance diagram of TextIO

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.STD.TextIO_Body[source]
+

Predefined package body of package std.textio.

+
+

See also

+

Matching Package declaration.

+
+

Inheritance

+
+

Inheritance diagram of TextIO_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.STD.Env[source]
+

Predefined package std.env.

+
+

See also

+

Matching Package Body declaration.

+
+

Inheritance

+
+

Inheritance diagram of Env

+
+
+
+
+__init__()[source]
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+
+class pyVHDLModel.STD.Env_Body[source]
+

Predefined package body of package std.env.

+
+

See also

+

Matching Package declaration.

+
+

Inheritance

+
+

Inheritance diagram of Env_Body

+
+
+
+
+property ContextItems: List[LibraryClause | UseClause | ContextReference]
+

Read-only property to access the sequence of all context items comprising library, use and context clauses +(_contextItems).

+
+
Returns:
+

Sequence of context items.

+
+
+
+ +
+
+property ContextReferences: List[ContextReference]
+

Read-only property to access the sequence of context clauses (_contextReferences).

+
+
Returns:
+

Sequence of context clauses.

+
+
+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property HierarchyVertex: Vertex
+

Read-only property to access the corresponding hierarchy vertex (_hierarchyVertex).

+

The hierarchy vertex references this design unit by its value field.

+
+
Returns:
+

The corresponding hierarchy vertex.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+IndexDeclaredItems()
+

Index declared items listed in the concurrent declaration region.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all declared items:

    +
      +
    • Every declared item is added to _namespace.

    • +
    • If the declared item is a FullType, then add an entry to _types.

    • +
    • If the declared item is a SubType, then add an entry to _subtypes.

    • +
    • If the declared item is a Function, then add an entry to _functions.

    • +
    • If the declared item is a Procedure, then add an entry to _procedures.

    • +
    • If the declared item is a Constant, then add an entry to _constants.

    • +
    • If the declared item is a Signal, then add an entry to _signals.

    • +
    • If the declared item is a Variable, TODO.

    • +
    • If the declared item is a SharedVariable, then add an entry to _sharedVariables.

    • +
    • If the declared item is a File, then add an entry to _files.

    • +
    • If the declared item is neither of these types, call _IndexOtherDeclaredItem().
      +Derived classes may override this virtual function.

    • +
    +
  2. +
+
+

See also

+
+
pyVHDLModel.Design.IndexPackages()

Iterate all packages in the design and index declared items.

+
+
pyVHDLModel.Library.IndexPackages()

Iterate all packages in the library and index declared items.

+
+
pyVHDLModel.Library._IndexOtherDeclaredItem()

Iterate all packages in the library and index declared items.

+
+
+
+
+ +
+
+property LibraryReferences: List[LibraryClause]
+

Read-only property to access the sequence of library clauses (_libraryReferences).

+
+
Returns:
+

Sequence of library clauses.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property PackageReferences: List[UseClause]
+

Read-only property to access the sequence of use clauses (_packageReferences).

+
+
Returns:
+

Sequence of use clauses.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__()
+

Initializes a design unit.

+
+
Parameters:
+
    +
  • identifier – Identifier (name) of the design unit.

  • +
  • contextItems – A sequence of library, use or context clauses.

  • +
  • documentation – Associated documentation of the design unit.

  • +
  • parent – Reference to the logical parent in the model hierarchy.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_constants: Dict[str, Constant]
+

Dictionary of all constants declared in this concurrent declaration region.

+
+ +
+
+_contextItems: List['ContextUnion']
+

List of all context items (library, use and context clauses).

+
+ +
+
+_contextReferences: List['ContextReference']
+

List of context clauses.

+
+ +
+
+_declaredItems: List
+

List of all declared items in this concurrent declaration region.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the design unit.
This reference is set by CreateDependencyGraph().

+
+ +
+
+_document: Document
+

The VHDL library, the design unit was analyzed into.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_files: Dict[str, File]
+

Dictionary of all files declared in this concurrent declaration region.

+
+ +
+
+_functions: Dict[str, Dict[str, Function]]
+

Dictionary of all functions declared in this concurrent declaration region.

+
+ +
+
+_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The vertex in the hierarchy graph

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_libraryReferences: List['LibraryClause']
+

List of library clauses.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_packageReferences: List['UseClause']
+

List of use clauses.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_procedures: Dict[str, Dict[str, Procedure]]
+

Dictionary of all procedures declared in this concurrent declaration region.

+
+ +
+
+_referencedContexts: Dict[str, 'Context']
+

Referenced contexts based on explicit context references or implicit inheritance

+
+ +
+
+_referencedLibraries: Dict[str, 'Library']
+

Referenced libraries based on explicit library clauses or implicit inheritance

+
+ +
+
+_referencedPackages: Dict[str, Dict[str, 'Package']]
+

Referenced packages based on explicit use clauses or implicit inheritance

+
+ +
+
+_sharedVariables: Dict[str, SharedVariable]
+

Dictionary of all shared variables declared in this concurrent declaration region.

+
+ +
+
+_signals: Dict[str, Signal]
+

Dictionary of all signals declared in this concurrent declaration region.

+
+ +
+
+_subtypes: Dict[str, Subtype]
+

Dictionary of all subtypes declared in this concurrent declaration region.

+
+ +
+
+_types: Dict[str, FullType]
+

Dictionary of all types declared in this concurrent declaration region.

+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Sequential.html b/pyVHDLModel/pyVHDLModel.Sequential.html new file mode 100644 index 000000000..5ff324c51 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Sequential.html @@ -0,0 +1,3945 @@ + + + + + + + pyVHDLModel.Sequential — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Sequential

+

This module contains parts of an abstract document language model for VHDL.

+

Declarations for sequential statements.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Sequential.SequentialStatement(label=None, parent=None)[source]
+

A SequentialStatement is a base-class for all sequential statements.

+

Inheritance

+
+

Inheritance diagram of SequentialStatement

+
+
Parameters:
+

label (str | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(label=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • label (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialStatementsMixin(statements=None)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialStatementsMixin

+
+
Parameters:
+

statements (Iterable[SequentialStatement] | None)

+
+
+
+
+__init__(statements=None)[source]
+
+
Parameters:
+

statements (Iterable[SequentialStatement] | None)

+
+
Return type:
+

None

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialProcedureCall(procedureName, parameterMappings=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialProcedureCall

+
+
Parameters:
+
+
+
+
+
+__init__(procedureName, parameterMappings=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialSignalAssignment(target, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialSignalAssignment

+
+
Parameters:
+
+
+
+
+
+__init__(target, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • target (Symbol)

  • +
  • label (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialSimpleSignalAssignment(target, waveform, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialSimpleSignalAssignment

+
+
Parameters:
+
+
+
+
+
+__init__(target, waveform, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Waveform: List[WaveformElement]
+

Read-only property to access the list waveform elements (_waveform).

+
+
Returns:
+

A list of waveform elements.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialVariableAssignment(target, expression, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialVariableAssignment

+
+
Parameters:
+
+
+
+
+
+__init__(target, expression, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialReportStatement(message, severity=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialReportStatement

+
+
Parameters:
+
+
+
+
+
+__init__(message, severity=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialAssertStatement(condition, message=None, severity=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialAssertStatement

+
+
Parameters:
+
+
+
+
+
+__init__(condition, message=None, severity=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.CompoundStatement(label=None, parent=None)[source]
+

A CompoundStatement is a base-class for all compound statements.

+

Inheritance

+
+

Inheritance diagram of CompoundStatement

+
+
Parameters:
+

label (str | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(label=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • label (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.Branch(statements=None, parent=None)[source]
+

A Branch is a base-class for all branches in a if statement.

+

Inheritance

+
+

Inheritance diagram of Branch

+
+
Parameters:
+
+
+
+
+
+__init__(statements=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.IfBranch(condition, statements=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of IfBranch

+
+
Parameters:
+
+
+
+
+
+__init__(condition, statements=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.ElsifBranch(condition, statements=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ElsifBranch

+
+
Parameters:
+
+
+
+
+
+__init__(condition, statements=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.ElseBranch(statements=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ElseBranch

+
+
Parameters:
+
+
+
+
+
+__init__(statements=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.IfStatement(ifBranch, elsifBranches=None, elseBranch=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of IfStatement

+
+
Parameters:
+
+
+
+
+
+__init__(ifBranch, elsifBranches=None, elseBranch=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property IfBranch: IfBranch
+

Read-only property to access the if-branch of the if-statement (_ifBranch).

+
+
Returns:
+

The if-branch.

+
+
+
+ +
+
+property ElsIfBranches: List[ElsifBranch]
+

Read-only property to access the elsif-branch of the if-statement (_elsifBranch).

+
+
Returns:
+

The elsif-branch.

+
+
+
+ +
+
+property ElseBranch: ElseBranch | None
+

Read-only property to access the else-branch of the if-statement (_elseBranch).

+
+
Returns:
+

The else-branch.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialChoice(parent=None)[source]
+

A SequentialChoice is a base-class for all sequential choices (in case statements).

+

Inheritance

+
+

Inheritance diagram of SequentialChoice

+
+
Parameters:
+

parent (ModelEntity | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+

parent (Optional[ModelEntity]) – The parent model entity of this entity.

+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.IndexedChoice(expression, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of IndexedChoice

+
+
Parameters:
+
+
+
+
+
+__init__(expression, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.RangedChoice(rng, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RangedChoice

+
+
Parameters:
+
+
+
+
+
+__init__(rng, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • rng (Range)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialCase(statements=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialCase

+
+
Parameters:
+
+
+
+
+
+__init__(statements=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.Case(choices, statements=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Case

+
+
Parameters:
+
+
+
+
+
+__init__(choices, statements=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.OthersCase(statements=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of OthersCase

+
+
Parameters:
+
+
+
+
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+__init__(statements=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.CaseStatement(expression, cases, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of CaseStatement

+
+
Parameters:
+
+
+
+
+
+__init__(expression, cases, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.LoopStatement(statements=None, label=None, parent=None)[source]
+

A LoopStatement is a base-class for all loop statements.

+

Inheritance

+
+

Inheritance diagram of LoopStatement

+
+
Parameters:
+
+
+
+
+
+__init__(statements=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.EndlessLoopStatement(statements=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of EndlessLoopStatement

+
+
Parameters:
+
+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+__init__(statements=None, label=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.ForLoopStatement(loopIndex, rng, statements=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ForLoopStatement

+
+
Parameters:
+
+
+
+
+
+__init__(loopIndex, rng, statements=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.WhileLoopStatement(condition, statements=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of WhileLoopStatement

+
+
Parameters:
+
+
+
+
+
+__init__(condition, statements=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+property Statements: List[SequentialStatement]
+

Read-only property to access the list of sequential statements (_statements).

+
+
Returns:
+

A list of sequential statements.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.LoopControlStatement(condition=None, loopLabel=None, parent=None)[source]
+

A LoopControlStatement is a base-class for all loop controlling statements.

+

Inheritance

+
+

Inheritance diagram of LoopControlStatement

+
+
Parameters:
+
+
+
+
+
+__init__(condition=None, loopLabel=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.NextStatement(condition=None, loopLabel=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of NextStatement

+
+
Parameters:
+
+
+
+
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(condition=None, loopLabel=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.ExitStatement(condition=None, loopLabel=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ExitStatement

+
+
Parameters:
+
+
+
+
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(condition=None, loopLabel=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.NullStatement(label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of NullStatement

+
+
Parameters:
+

label (str | None)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(label=None, parent=None)
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent – The parent model entity of this entity.

  • +
  • label (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Optional[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Optional[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.ReturnStatement(returnValue=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ReturnStatement

+
+
Parameters:
+
+
+
+
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(returnValue=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Sequential.WaitStatement(sensitivityList=None, condition=None, timeout=None, label=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of WaitStatement

+
+
Parameters:
+
+
+
+
+
+property Condition: BaseExpression | QualifiedExpression | FunctionCall | TypeConversion | Literal
+

Read-only property to access the condition of a statement (_condition).

+
+
Returns:
+

The expression representing the condition of a statement.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Label: str | None
+

Returns a model entity’s label.

+
+
Returns:
+

Label of a model entity.

+
+
+
+ +
+
+property NormalizedLabel: str | None
+

Returns a model entity’s normalized (lower case) label.

+
+
Returns:
+

Normalized label of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_label: Nullable[str]
+

The label of a model entity.

+
+ +
+
+_normalizedLabel: Nullable[str]
+

The normalized (lower case) label of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(sensitivityList=None, condition=None, timeout=None, label=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Sequential.SequentialDeclarationsMixin(declaredItems)[source]
+

Inheritance

+
+

Inheritance diagram of SequentialDeclarationsMixin

+
+
Parameters:
+

declaredItems (Iterable)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(declaredItems)[source]
+
+
Parameters:
+

declaredItems (Iterable)

+
+
Return type:
+

None

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Subprogram.html b/pyVHDLModel/pyVHDLModel.Subprogram.html new file mode 100644 index 000000000..464ea4638 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Subprogram.html @@ -0,0 +1,980 @@ + + + + + + + pyVHDLModel.Subprogram — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Subprogram

+

This module contains parts of an abstract document language model for VHDL.

+

Subprograms are procedures, functions and methods.

+

Classes

+
    +
  • Subprogram: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
  • Procedure: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
  • Function: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
  • MethodMixin: +A Method is a mixin class for all subprograms in a protected type.

  • +
  • ProcedureMethod: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
  • FunctionMethod: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
+
+

Classes

+
+
+class pyVHDLModel.Subprogram.Subprogram(identifier, isPure, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Subprogram

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, isPure, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • isPure (bool)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Subprogram.Procedure(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Procedure

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Subprogram.Function(identifier, isPure=True, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Function

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, isPure=True, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • isPure (bool)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Subprogram.MethodMixin(protectedType)[source]
+

A Method is a mixin class for all subprograms in a protected type.

+

Inheritance

+
+

Inheritance diagram of MethodMixin

+
+
Parameters:
+

protectedType (ProtectedType)

+
+
+
+
+__init__(protectedType)[source]
+
+
Parameters:
+

protectedType (ProtectedType)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Subprogram.ProcedureMethod(identifier, documentation=None, protectedType=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ProcedureMethod

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifier, documentation=None, protectedType=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
  • documentation (str | None)

  • +
  • protectedType (ProtectedType | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Subprogram.FunctionMethod(identifier, isPure=True, documentation=None, protectedType=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of FunctionMethod

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifier, isPure=True, documentation=None, protectedType=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Symbol.html b/pyVHDLModel/pyVHDLModel.Symbol.html new file mode 100644 index 000000000..76839330b --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Symbol.html @@ -0,0 +1,2799 @@ + + + + + + + pyVHDLModel.Symbol — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Symbol

+

This module contains parts of an abstract document language model for VHDL.

+

Symbols are entity specific wrappers for names that reference VHDL language entities.

+

Classes

+ +
+

Classes

+
+
+class pyVHDLModel.Symbol.PossibleReference(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

Is an enumeration, representing possible targets for a reference in a Symbol.

+

Inheritance

+
+

Inheritance diagram of PossibleReference

+
+
+Library = 1
+

Library

+
+ +
+
+Entity = 2
+

Entity

+
+ +
+
+Architecture = 4
+

Architecture

+
+ +
+
+Component = 8
+

Component

+
+ +
+
+Package = 16
+

Package

+
+ +
+
+Configuration = 32
+

Configuration

+
+ +
+
+Context = 64
+

Context

+
+ +
+
+Type = 128
+

Type

+
+ +
+
+Subtype = 256
+

Subtype

+
+ +
+
+ScalarType = 512
+

ScalarType

+
+ +
+
+ArrayType = 1024
+

ArrayType

+
+ +
+
+RecordType = 2048
+

RecordType

+
+ +
+
+RecordElement = 4096
+

RecordElement

+
+ +
+
+AccessType = 8192
+

AccessType

+
+ +
+
+ProtectedType = 16384
+

ProtectedType

+
+ +
+
+FileType = 32768
+

FileType

+
+ +
+
+Attribute = 65536
+

Attribute

+
+ +
+
+TypeAttribute = 131072
+

TypeAttribute

+
+ +
+
+ValueAttribute = 262144
+

ValueAttribute

+
+ +
+
+SignalAttribute = 524288
+

SignalAttribute

+
+ +
+
+RangeAttribute = 1048576
+

RangeAttribute

+
+ +
+
+ViewAttribute = 2097152
+

ViewAttribute

+
+ +
+
+Constant = 4194304
+

Constant

+
+ +
+
+Variable = 8388608
+

Variable

+
+ +
+
+Signal = 16777216
+

Signal

+
+ +
+
+File = 33554432
+

File

+
+ +
+
+EnumLiteral = 67108864
+

EnumLiteral

+
+ +
+
+Procedure = 134217728
+

Procedure

+
+ +
+
+Function = 268435456
+

Function

+
+ +
+
+Label = 536870912
+

Label

+
+ +
+
+View = 1073741824
+

View

+
+ +
+
+AnyType = 61184
+

Any possible type incl. subtypes.

+
+ +
+
+SubProgram = 402653184
+

Any subprogram

+
+ +
+
+PackageMember = 432074504
+

Any member of a package

+
+ +
+
+SimpleNameInExpression = 364904960
+

Any possible item in an expression.

+
+ +
+
+static _generate_next_value_(name, start, count, last_values)
+

Generate the next value when not given.

+

name: the name of the member +start: the initial start value or None +count: the number of existing members +last_values: the last value assigned or None

+
+ +
+
+_numeric_repr_()
+

Return the canonical string representation of the object.

+

For many object types, including most builtins, eval(repr(obj)) == obj.

+
+ +
+
+classmethod _iter_member_by_value_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_by_def_(value)
+

Extract all members from the value in definition order.

+
+ +
+
+classmethod _missing_(value)
+

Create a composite member containing all canonical members present in value.

+

If non-member values are present, result depends on _boundary_ setting.

+
+ +
+
+__contains__(other)
+

Returns True if self has at least the same flags set as other.

+
+ +
+
+__iter__()
+

Returns flags in definition order.

+
+ +
+
+__len__()
+

Return the number of members (no aliases)

+
+ +
+
+__repr__()
+

Return repr(self).

+
+ +
+
+__str__()
+

Return str(self).

+
+ +
+
+__bool__()
+

classes/types should always be True.

+
+ +
+
+__or__(other)
+

Return self|value.

+
+ +
+
+__ror__(other)
+

Return value|self.

+
+ +
+
+__new__(value)
+
+ +
+ +
+
+class pyVHDLModel.Symbol.Symbol(name, possibleReferences)[source]
+

Base-class for all symbol classes.

+

Inheritance

+
+

Inheritance diagram of Symbol

+
+
Parameters:
+
+
+
+
+
+__init__(name, possibleReferences)[source]
+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+
+__repr__()[source]
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Symbol.LibraryReferenceSymbol(name)[source]
+

Represents a reference (name) to a library.

+

The internal name will be a SimpleName.

+
+

Example

+
library ieee;
+--      ^^^^
+
+
+
+

Inheritance

+
+

Inheritance diagram of LibraryReferenceSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.PackageReferenceSymbol(name)[source]
+

Represents a reference (name) to a package.

+

The internal name will be a SelectedName.

+
+

Example

+
use ieee.numeric_std;
+--  ^^^^^^^^^^^^^^^^
+
+
+
+

Inheritance

+
+

Inheritance diagram of PackageReferenceSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.ContextReferenceSymbol(name)[source]
+

Represents a reference (name) to a context.

+

The internal name will be a SelectedName.

+
+

Example

+
context ieee.ieee_std_context;
+--      ^^^^^^^^^^^^^^^^^^^^^
+
+
+
+

Inheritance

+
+

Inheritance diagram of ContextReferenceSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.PackageMemberReferenceSymbol(name)[source]
+

Represents a reference (name) to a package member.

+

The internal name will be a SelectedName.

+
+

Example

+
use ieee.numeric_std.unsigned;
+--  ^^^^^^^^^^^^^^^^^^^^^^^^^
+
+
+
+

Inheritance

+
+

Inheritance diagram of PackageMemberReferenceSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol(name)[source]
+

Represents a reference (name) to all package members.

+

The internal name will be a AllName.

+
+

Example

+
use ieee.numeric_std.all;
+--  ^^^^^^^^^^^^^^^^^^^^
+
+
+
+

Inheritance

+
+

Inheritance diagram of AllPackageMembersReferenceSymbol

+
+
Parameters:
+

name (AllName)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (AllName)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.EntityInstantiationSymbol(name)[source]
+

Represents a reference (name) to an entity in a direct entity instantiation.

+

The internal name will be a SimpleName or SelectedName.

+
+

Example

+
inst : entity work.Counter;
+--            ^^^^^^^^^^^^
+
+
+
+

Inheritance

+
+

Inheritance diagram of EntityInstantiationSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.ComponentInstantiationSymbol(name)[source]
+

Represents a reference (name) to an entity in a component instantiation.

+

The internal name will be a SimpleName or SelectedName.

+
+

Example

+
inst : component Counter;
+--               ^^^^^^^
+
+
+
+

Inheritance

+
+

Inheritance diagram of ComponentInstantiationSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.ConfigurationInstantiationSymbol(name)[source]
+

Represents a reference (name) to an entity in a configuration instantiation.

+

The internal name will be a SimpleName or SelectedName.

+
+

Example

+
inst : configuration Counter;
+--                   ^^^^^^^
+
+
+
+

Inheritance

+
+

Inheritance diagram of ConfigurationInstantiationSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.EntitySymbol(name)[source]
+

Represents a reference (name) to an entity in an architecture declaration.

+

The internal name will be a SimpleName or SelectedName.

+
+

Example

+
architecture rtl of Counter is
+--                  ^^^^^^^
+begin
+end architecture;
+
+
+
+

Inheritance

+
+

Inheritance diagram of EntitySymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.ArchitectureSymbol(name)[source]
+

An entity reference in an entity instantiation with architecture name.

+

Inheritance

+
+

Inheritance diagram of ArchitectureSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.PackageSymbol(name)[source]
+

Represents a reference (name) to a package in a package body declaration.

+

The internal name will be a SimpleName or SelectedName.

+
+

Example

+
package body Utilities is
+--           ^^^^^^^^^
+end package body;
+
+
+
+

Inheritance

+
+

Inheritance diagram of PackageSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.RecordElementSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of RecordElementSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Symbol.SubtypeSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of SubtypeSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Symbol.SimpleSubtypeSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of SimpleSubtypeSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(name)
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of ConstrainedScalarSubtypeSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(name)
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of ConstrainedCompositeSubtypeSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(name)
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of ConstrainedArraySubtypeSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(name)
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of ConstrainedRecordSubtypeSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__init__(name)
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+ +
+
+class pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of SimpleObjectOrFunctionCallSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol(name)[source]
+

Inheritance

+
+

Inheritance diagram of IndexedObjectOrFunctionCallSymbol

+
+
Parameters:
+

name (Name)

+
+
+
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+__repr__()
+

Return repr(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+__str__()
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_name: Name
+

The name to reference the langauge entity.

+
+ +
+
+_possibleReferences: PossibleReference
+

An enumeration to filter possible references.

+
+ +
+
+_reference: Optional[Any]
+

The resolved language entity, otherwise None.

+
+ +
+
+__init__(name)[source]
+
+
Parameters:
+

name (Name)

+
+
Return type:
+

None

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.Type.html b/pyVHDLModel/pyVHDLModel.Type.html new file mode 100644 index 000000000..bd9c4cbb1 --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.Type.html @@ -0,0 +1,3100 @@ + + + + + + + pyVHDLModel.Type — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel.Type

+

This module contains parts of an abstract document language model for VHDL.

+

Types.

+

Classes

+
    +
  • BaseType: +BaseType is the base-class of all type entities in this model.

  • +
  • Type: +BaseType is the base-class of all type entities in this model.

  • +
  • AnonymousType: +BaseType is the base-class of all type entities in this model.

  • +
  • FullType: +BaseType is the base-class of all type entities in this model.

  • +
  • Subtype: +BaseType is the base-class of all type entities in this model.

  • +
  • ScalarType: +A ScalarType is a base-class for all scalar types.

  • +
  • RangedScalarType: +A RangedScalarType is a base-class for all scalar types with a range.

  • +
  • NumericTypeMixin: +A NumericType is a mixin class for all numeric types.

  • +
  • DiscreteTypeMixin: +A DiscreteType is a mixin class for all discrete types.

  • +
  • EnumeratedType: +A ScalarType is a base-class for all scalar types.

  • +
  • IntegerType: +A RangedScalarType is a base-class for all scalar types with a range.

  • +
  • RealType: +A RangedScalarType is a base-class for all scalar types with a range.

  • +
  • PhysicalType: +A RangedScalarType is a base-class for all scalar types with a range.

  • +
  • CompositeType: +A CompositeType is a base-class for all composite types.

  • +
  • ArrayType: +A CompositeType is a base-class for all composite types.

  • +
  • RecordTypeElement: +ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • +
  • RecordType: +A CompositeType is a base-class for all composite types.

  • +
  • ProtectedType: +BaseType is the base-class of all type entities in this model.

  • +
  • ProtectedTypeBody: +BaseType is the base-class of all type entities in this model.

  • +
  • AccessType: +BaseType is the base-class of all type entities in this model.

  • +
  • FileType: +BaseType is the base-class of all type entities in this model.

  • +
+
+

Classes

+
+
+class pyVHDLModel.Type.BaseType(identifier, documentation=None, parent=None)[source]
+

BaseType is the base-class of all type entities in this model.

+

Inheritance

+
+

Inheritance diagram of BaseType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, documentation=None, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.Type(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Type

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, documentation=None, parent=None)
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.AnonymousType(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of AnonymousType

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, documentation=None, parent=None)
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.FullType(identifier, documentation=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of FullType

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, documentation=None, parent=None)
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.Subtype(identifier, symbol, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of Subtype

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, symbol, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • symbol (Symbol)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.ScalarType(identifier, documentation=None, parent=None)[source]
+

A ScalarType is a base-class for all scalar types.

+

Inheritance

+
+

Inheritance diagram of ScalarType

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, documentation=None, parent=None)
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.RangedScalarType(identifier, rng, parent=None)[source]
+

A RangedScalarType is a base-class for all scalar types with a range.

+

Inheritance

+
+

Inheritance diagram of RangedScalarType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, rng, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • rng (Range | Name)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.NumericTypeMixin[source]
+

A NumericType is a mixin class for all numeric types.

+

Inheritance

+
+

Inheritance diagram of NumericTypeMixin

+
+
+
+
+__init__()[source]
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Type.DiscreteTypeMixin[source]
+

A DiscreteType is a mixin class for all discrete types.

+

Inheritance

+
+

Inheritance diagram of DiscreteTypeMixin

+
+
+
+
+__init__()[source]
+
+
Return type:
+

None

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+ +
+
+class pyVHDLModel.Type.EnumeratedType(identifier, literals, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of EnumeratedType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, literals, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.IntegerType(identifier, rng, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of IntegerType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, rng, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • rng (Range | Name)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.RealType(identifier, rng, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RealType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, rng, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • rng (Range | Name)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.PhysicalType(identifier, rng, primaryUnit, units, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of PhysicalType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, rng, primaryUnit, units, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.CompositeType(identifier, documentation=None, parent=None)[source]
+

A CompositeType is a base-class for all composite types.

+

Inheritance

+
+

Inheritance diagram of CompositeType

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+__init__(identifier, documentation=None, parent=None)
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.ArrayType(identifier, indices, elementSubtype, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ArrayType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, indices, elementSubtype, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • indices (Iterable)

  • +
  • elementSubtype (Symbol)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.RecordTypeElement(identifiers, subtype, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RecordTypeElement

+
+
Parameters:
+
+
+
+
+
+__init__(identifiers, subtype, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+_identifiers: Tuple[str]
+

A list of identifiers.

+
+ +
+
+_normalizedIdentifiers: Tuple[str]
+

A list of normalized (lower case) identifiers.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifiers: Tuple[str]
+

Returns a model entity’s tuple of identifiers (names).

+
+
Returns:
+

Tuple of identifiers.

+
+
+
+ +
+
+property NormalizedIdentifiers: Tuple[str]
+

Returns a model entity’s tuple of normalized identifiers (lower case names).

+
+
Returns:
+

Tuple of normalized identifiers.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.RecordType(identifier, elements=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of RecordType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, elements=None, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.ProtectedType(identifier, methods=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ProtectedType

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, methods=None, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • methods (List | Iterator)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Type.ProtectedTypeBody(identifier, declaredItems=None, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of ProtectedTypeBody

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Nullable[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifier, declaredItems=None, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • declaredItems (List | Iterator)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+ +
+
+class pyVHDLModel.Type.AccessType(identifier, designatedSubtype, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of AccessType

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifier, designatedSubtype, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • designatedSubtype (Symbol)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+
+class pyVHDLModel.Type.FileType(identifier, designatedSubtype, parent=None)[source]
+

Inheritance

+
+

Inheritance diagram of FileType

+
+
Parameters:
+
+
+
+
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+__init__(identifier, designatedSubtype, parent=None)[source]
+

Initializes underlying BaseType.

+
+
Parameters:
+
    +
  • identifier (str) – Name of the type.

  • +
  • parent (ModelEntity) – Reference to the logical parent in the model hierarchy.

  • +
  • designatedSubtype (Symbol)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+__str__()[source]
+

Return str(self).

+
+
Return type:
+

str

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/pyVHDLModel/pyVHDLModel.html b/pyVHDLModel/pyVHDLModel.html new file mode 100644 index 000000000..f380f5f1e --- /dev/null +++ b/pyVHDLModel/pyVHDLModel.html @@ -0,0 +1,3480 @@ + + + + + + + pyVHDLModel — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

pyVHDLModel

+

An abstract VHDL language model.

+

This package provides a unified abstract language model for VHDL. Projects reading from source files can derive own +classes and implement additional logic to create a concrete language model for their tools.

+

Projects consuming pre-processed VHDL data (parsed, analyzed or elaborated) can build higher level features and services +on such a model, while supporting multiple frontends.

+ +

Submodules

+ +

Classes

+
    +
  • VHDLVersion: +An enumeration for all possible version numbers for VHDL and VHDL-AMS.

  • +
  • ObjectClass: +An ObjectClass is an enumeration and represents an object’s class (constant, signal, …).

  • +
  • DesignUnitKind: +A DesignUnitKind is an enumeration and represents the kind of design unit (Entity, Architecture, …).

  • +
  • DependencyGraphVertexKind: +A DependencyGraphVertexKind is an enumeration and represents the kind of vertex in the dependency graph.

  • +
  • DependencyGraphEdgeKind: +A DependencyGraphEdgeKind is an enumeration and represents the kind of edge in the dependency graph.

  • +
  • ObjectGraphVertexKind: +A ObjectGraphVertexKind is an enumeration and represents the kind of vertex in the object graph.

  • +
  • ObjectGraphEdgeKind: +A ObjectGraphEdgeKind is an enumeration and represents the kind of edge in the object graph.

  • +
  • Design: +A Design represents set of VHDL libraries as well as all loaded and analysed source files (see Document).

  • +
  • Library: +A Library represents a VHDL library. It contains all primary and secondary design units.

  • +
  • Document: +A Document represents a sourcefile. It contains primary and secondary design units.

  • +
+
+

Classes

+
+
+class pyVHDLModel.VHDLVersion(*_)[source]
+

An enumeration for all possible version numbers for VHDL and VHDL-AMS.

+

A version can be given as integer or string and is represented as a unified +enumeration value.

+

This enumeration supports compare operators.

+

Inheritance

+
+

Inheritance diagram of VHDLVersion

+
+
+Any = -1
+

Any

+
+ +
+
+VHDL87 = 87
+

VHDL-1987

+
+ +
+
+VHDL93 = 93
+

VHDL-1993

+
+ +
+
+AMS93 = 1993
+

VHDL-AMS-1993

+
+ +
+
+AMS99 = 1999
+

VHDL-AMS-1999

+
+ +
+
+VHDL2000 = 2000
+

VHDL-2000

+
+ +
+
+VHDL2002 = 2002
+

VHDL-2002

+
+ +
+
+VHDL2008 = 2008
+

VHDL-2008

+
+ +
+
+AMS2017 = 2017
+

VHDL-AMS-2017

+
+ +
+
+VHDL2019 = 2019
+

VHDL-2019

+
+ +
+
+Latest = 10000
+

Latest VHDL (2019)

+
+ +
+
+__VERSION_MAPPINGS__: Dict[Union[int, str], Enum] = {'00': 2000, '02': 2002, '08': 2008, '17': 2017, '19': 2019, '1987': 87, '1993': 1993, '1999': 1999, '2000': 2000, '2002': 2002, '2008': 2008, '2017': 2017, '2019': 2019, '87': 87, '93': 93, '99': 1999, 'Any': Any, 'Latest': Latest, -1: Any, 0: 2000, 10000: Latest, 17: 2017, 19: 2019, 1987: 87, 1993: 1993, 1999: 1999, 2: 2002, 2000: 2000, 2002: 2002, 2008: 2008, 2017: 2017, 2019: 2019, 8: 2008, 87: 87, 93: 93, 99: 1999}
+

Dictionary of VHDL and VHDL-AMS year codes variants as integer and strings for mapping to unique enum values.

+
+ +
+
+__init__(*_)[source]
+

Patch the embedded MAP dictionary

+
+
Return type:
+

None

+
+
+
+ +
+
+classmethod Parse(value)[source]
+

Parses a VHDL or VHDL-AMS year code as integer or string to an enum value.

+
+
Parameters:
+

value (Union[int, str]) – VHDL/VHDL-AMS year code.

+
+
Return type:
+

VHDLVersion

+
+
Returns:
+

Enumeration value.

+
+
Raises:
+

ValueError – If the year code is not recognized.

+
+
+
+ +
+
+__lt__(other)[source]
+

Compare two VHDL/VHDL-AMS versions if the version is less than the second operand.

+
+
Parameters:
+

other (-1) – Parameter to compare against.

+
+
Return type:
+

bool

+
+
Returns:
+

True if version is less than the second operand.

+
+
Raises:
+

TypeError – If parameter other is not of type VHDLVersion.

+
+
+
+ +
+
+__le__(other)[source]
+

Compare two VHDL/VHDL-AMS versions if the version is less or equal than the second operand.

+
+
Parameters:
+

other (-1) – Parameter to compare against.

+
+
Return type:
+

bool

+
+
Returns:
+

True if version is less or equal than the second operand.

+
+
Raises:
+

TypeError – If parameter other is not of type VHDLVersion.

+
+
+
+ +
+
+__gt__(other)[source]
+

Compare two VHDL/VHDL-AMS versions if the version is greater than the second operand.

+
+
Parameters:
+

other (-1) – Parameter to compare against.

+
+
Return type:
+

bool

+
+
Returns:
+

True if version is greater than the second operand.

+
+
Raises:
+

TypeError – If parameter other is not of type VHDLVersion.

+
+
+
+ +
+
+__ge__(other)[source]
+

Compare two VHDL/VHDL-AMS versions if the version is greater or equal than the second operand.

+
+
Parameters:
+

other (-1) – Parameter to compare against.

+
+
Return type:
+

bool

+
+
Returns:
+

True if version is greater or equal than the second operand.

+
+
Raises:
+

TypeError – If parameter other is not of type VHDLVersion.

+
+
+
+ +
+
+__ne__(other)[source]
+

Compare two VHDL/VHDL-AMS versions if the version is unequal to the second operand.

+
+
Parameters:
+

other (-1) – Parameter to compare against.

+
+
Return type:
+

bool

+
+
Returns:
+

True if version is unequal to the second operand.

+
+
Raises:
+

TypeError – If parameter other is not of type VHDLVersion.

+
+
+
+ +
+
+__eq__(other)[source]
+

Compare two VHDL/VHDL-AMS versions if the version is equal to the second operand.

+
+
Parameters:
+

other (-1) – Parameter to compare against.

+
+
Return type:
+

bool

+
+
Returns:
+

True if version is equal to the second operand.

+
+
Raises:
+

TypeError – If parameter other is not of type VHDLVersion.

+
+
+
+ +
+
+property IsVHDL: bool
+

Checks if the version is a VHDL (not VHDL-AMS) version.

+
+
Returns:
+

True if version is a VHDL version.

+
+
+
+ +
+
+property IsAMS: bool
+

Checks if the version is a VHDL-AMS (not VHDL) version.

+
+
Returns:
+

True if version is a VHDL-AMS version.

+
+
+
+ +
+
+__str__()[source]
+

Formats the VHDL version to pattern VHDL'xx or in case of VHDL-AMS to VHDL-AMS'xx.

+
+
Return type:
+

str

+
+
Returns:
+

Formatted VHDL/VHDL-AMS version.

+
+
+
+ +
+
+__repr__()[source]
+

Formats the VHDL/VHDL-AMS version to pattern xxxx.

+
+
Return type:
+

str

+
+
Returns:
+

Formatted VHDL/VHDL-AMS version.

+
+
+
+ +
+
+__hash__ = None
+
+ +
+ +
+
+class pyVHDLModel.ObjectClass(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

An ObjectClass is an enumeration and represents an object’s class (constant, signal, …).

+

In case no object class is defined, Default is used, so the object class is inferred from context.

+

Inheritance

+
+

Inheritance diagram of ObjectClass

+
+
+Default = 0
+

Object class not defined, thus it’s context dependent.

+
+ +
+
+Constant = 1
+

Constant

+
+ +
+
+Variable = 2
+

Variable

+
+ +
+
+Signal = 3
+

Signal

+
+ +
+
+File = 4
+

File

+
+ +
+
+Type = 5
+

Type

+
+ +
+
+Procedure = 6
+

Procedure

+
+ +
+
+Function = 7
+

Function

+
+ +
+
+__str__()[source]
+

Formats the object class.

+
+
Return type:
+

str

+
+
Returns:
+

Formatted object class.

+
+
+
+ +
+ +
+
+class pyVHDLModel.DesignUnitKind(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

A DesignUnitKind is an enumeration and represents the kind of design unit (Entity, Architecture, …).

+

Inheritance

+
+

Inheritance diagram of DesignUnitKind

+
+
+Context = 1
+

Context

+
+ +
+
+Package = 2
+

Package

+
+ +
+
+PackageBody = 4
+

Package Body

+
+ +
+
+Entity = 8
+

Entity

+
+ +
+
+Architecture = 16
+

Architecture

+
+ +
+
+Configuration = 32
+

Configuration

+
+ +
+
+Primary = 43
+

List of primary design units.

+
+ +
+
+Secondary = 20
+

List of secondary design units.

+
+ +
+
+WithContext = 62
+

List of design units with a context.

+
+ +
+
+WithDeclaredItems = 30
+

List of design units having a declaration region.

+
+ +
+
+All = 63
+

List of all design units.

+
+ +
+
+static _generate_next_value_(name, start, count, last_values)
+

Generate the next value when not given.

+

name: the name of the member +start: the initial start value or None +count: the number of existing members +last_values: the last value assigned or None

+
+ +
+
+_numeric_repr_()
+

Return the canonical string representation of the object.

+

For many object types, including most builtins, eval(repr(obj)) == obj.

+
+ +
+
+classmethod _iter_member_by_value_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_by_def_(value)
+

Extract all members from the value in definition order.

+
+ +
+
+classmethod _missing_(value)
+

Create a composite member containing all canonical members present in value.

+

If non-member values are present, result depends on _boundary_ setting.

+
+ +
+
+__contains__(other)
+

Returns True if self has at least the same flags set as other.

+
+ +
+
+__iter__()
+

Returns flags in definition order.

+
+ +
+
+__len__()
+

Return the number of members (no aliases)

+
+ +
+
+__repr__()
+

Return repr(self).

+
+ +
+
+__str__()
+

Return str(self).

+
+ +
+
+__bool__()
+

classes/types should always be True.

+
+ +
+
+__or__(other)
+

Return self|value.

+
+ +
+
+__ror__(other)
+

Return value|self.

+
+ +
+
+__new__(value)
+
+ +
+ +
+
+class pyVHDLModel.DependencyGraphVertexKind(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

A DependencyGraphVertexKind is an enumeration and represents the kind of vertex in the dependency graph.

+

Inheritance

+
+

Inheritance diagram of DependencyGraphVertexKind

+
+
+Document = 1
+

A document (VHDL source file).

+
+ +
+
+Library = 2
+

A VHDL library.

+
+ +
+
+Context = 4
+

A context design unit.

+
+ +
+
+Package = 8
+

A package design unit.

+
+ +
+
+PackageBody = 16
+

A package body design unit.

+
+ +
+
+Entity = 32
+

A entity design unit.

+
+ +
+
+Architecture = 64
+

A architecture design unit.

+
+ +
+
+Component = 128
+

A VHDL component.

+
+ +
+
+Configuration = 256
+

A configuration design unit.

+
+ +
+
+static _generate_next_value_(name, start, count, last_values)
+

Generate the next value when not given.

+

name: the name of the member +start: the initial start value or None +count: the number of existing members +last_values: the last value assigned or None

+
+ +
+
+_numeric_repr_()
+

Return the canonical string representation of the object.

+

For many object types, including most builtins, eval(repr(obj)) == obj.

+
+ +
+
+classmethod _iter_member_by_value_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_by_def_(value)
+

Extract all members from the value in definition order.

+
+ +
+
+classmethod _missing_(value)
+

Create a composite member containing all canonical members present in value.

+

If non-member values are present, result depends on _boundary_ setting.

+
+ +
+
+__contains__(other)
+

Returns True if self has at least the same flags set as other.

+
+ +
+
+__iter__()
+

Returns flags in definition order.

+
+ +
+
+__len__()
+

Return the number of members (no aliases)

+
+ +
+
+__repr__()
+

Return repr(self).

+
+ +
+
+__str__()
+

Return str(self).

+
+ +
+
+__bool__()
+

classes/types should always be True.

+
+ +
+
+__or__(other)
+

Return self|value.

+
+ +
+
+__ror__(other)
+

Return value|self.

+
+ +
+
+__new__(value)
+
+ +
+ +
+
+class pyVHDLModel.DependencyGraphEdgeKind(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

A DependencyGraphEdgeKind is an enumeration and represents the kind of edge in the dependency graph.

+

Inheritance

+
+

Inheritance diagram of DependencyGraphEdgeKind

+
+
+static _generate_next_value_(name, start, count, last_values)
+

Generate the next value when not given.

+

name: the name of the member +start: the initial start value or None +count: the number of existing members +last_values: the last value assigned or None

+
+ +
+
+_numeric_repr_()
+

Return the canonical string representation of the object.

+

For many object types, including most builtins, eval(repr(obj)) == obj.

+
+ +
+
+classmethod _iter_member_by_value_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_by_def_(value)
+

Extract all members from the value in definition order.

+
+ +
+
+classmethod _missing_(value)
+

Create a composite member containing all canonical members present in value.

+

If non-member values are present, result depends on _boundary_ setting.

+
+ +
+
+__contains__(other)
+

Returns True if self has at least the same flags set as other.

+
+ +
+
+__iter__()
+

Returns flags in definition order.

+
+ +
+
+__len__()
+

Return the number of members (no aliases)

+
+ +
+
+__repr__()
+

Return repr(self).

+
+ +
+
+__str__()
+

Return str(self).

+
+ +
+
+__bool__()
+

classes/types should always be True.

+
+ +
+
+__or__(other)
+

Return self|value.

+
+ +
+
+__ror__(other)
+

Return value|self.

+
+ +
+
+__new__(value)
+
+ +
+ +
+
+class pyVHDLModel.ObjectGraphVertexKind(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

A ObjectGraphVertexKind is an enumeration and represents the kind of vertex in the object graph.

+

Inheritance

+
+

Inheritance diagram of ObjectGraphVertexKind

+
+
+static _generate_next_value_(name, start, count, last_values)
+

Generate the next value when not given.

+

name: the name of the member +start: the initial start value or None +count: the number of existing members +last_values: the last value assigned or None

+
+ +
+
+_numeric_repr_()
+

Return the canonical string representation of the object.

+

For many object types, including most builtins, eval(repr(obj)) == obj.

+
+ +
+
+classmethod _iter_member_by_value_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_by_def_(value)
+

Extract all members from the value in definition order.

+
+ +
+
+classmethod _missing_(value)
+

Create a composite member containing all canonical members present in value.

+

If non-member values are present, result depends on _boundary_ setting.

+
+ +
+
+__contains__(other)
+

Returns True if self has at least the same flags set as other.

+
+ +
+
+__iter__()
+

Returns flags in definition order.

+
+ +
+
+__len__()
+

Return the number of members (no aliases)

+
+ +
+
+__repr__()
+

Return repr(self).

+
+ +
+
+__str__()
+

Return str(self).

+
+ +
+
+__bool__()
+

classes/types should always be True.

+
+ +
+
+__or__(other)
+

Return self|value.

+
+ +
+
+__ror__(other)
+

Return value|self.

+
+ +
+
+__new__(value)
+
+ +
+ +
+
+class pyVHDLModel.ObjectGraphEdgeKind(value, names=<not given>, *values, module=None, qualname=None, type=None, start=1, boundary=None)[source]
+

A ObjectGraphEdgeKind is an enumeration and represents the kind of edge in the object graph.

+

Inheritance

+
+

Inheritance diagram of ObjectGraphEdgeKind

+
+
+static _generate_next_value_(name, start, count, last_values)
+

Generate the next value when not given.

+

name: the name of the member +start: the initial start value or None +count: the number of existing members +last_values: the last value assigned or None

+
+ +
+
+_numeric_repr_()
+

Return the canonical string representation of the object.

+

For many object types, including most builtins, eval(repr(obj)) == obj.

+
+ +
+
+classmethod _iter_member_by_value_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_(value)
+

Extract all members from the value in definition (i.e. increasing value) order.

+
+ +
+
+classmethod _iter_member_by_def_(value)
+

Extract all members from the value in definition order.

+
+ +
+
+classmethod _missing_(value)
+

Create a composite member containing all canonical members present in value.

+

If non-member values are present, result depends on _boundary_ setting.

+
+ +
+
+__contains__(other)
+

Returns True if self has at least the same flags set as other.

+
+ +
+
+__iter__()
+

Returns flags in definition order.

+
+ +
+
+__len__()
+

Return the number of members (no aliases)

+
+ +
+
+__repr__()
+

Return repr(self).

+
+ +
+
+__str__()
+

Return str(self).

+
+ +
+
+__bool__()
+

classes/types should always be True.

+
+ +
+
+__or__(other)
+

Return self|value.

+
+ +
+
+__ror__(other)
+

Return value|self.

+
+ +
+
+__new__(value)
+
+ +
+ +
+
+class pyVHDLModel.Design(name=None)[source]
+

A Design represents set of VHDL libraries as well as all loaded and analysed source files (see Document).

+

It’s the root of this code document-object-model (CodeDOM). It contains at least one VHDL library (see Library). When the design is +analysed (see Analyze()), multiple graph data structures will be created and populated with vertices and edges. As a first result, the design’s compile +order and hierarchy can be iterated. As a second result, the design’s top-level is identified and referenced from the design (see TopLevel).

+

The design contains references to the following graphs:

+ +

Inheritance

+
+

Inheritance diagram of Design

+
+
Parameters:
+

name (str | None)

+
+
+
+
+__init__(name=None)[source]
+

Initializes a VHDL design.

+
+
Parameters:
+

name (Optional[str]) – Name of the design.

+
+
Return type:
+

None

+
+
+
+ +
+
+_name: Optional[str]
+

Name of the design

+
+ +
+
+_libraries: Dict[str, Library]
+

List of all libraries defined for a design.

+
+ +
+
+_documents: List[Document]
+

List of all documents loaded for a design.

+
+ +
+
+_compileOrderGraph: Graph[None, None, None, None, None, None, None, None, None, Document, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

A graph derived from dependency graph containing the order of documents for compilation.

+
+ +
+
+_dependencyGraph: Graph[None, None, None, None, None, None, None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The graph of all dependencies in the designs.

+
+ +
+
+_hierarchyGraph: Graph[None, None, None, None, None, None, None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

A graph derived from dependency graph containing the design hierarchy.

+
+ +
+
+_objectGraph: Graph[None, None, None, None, None, None, None, None, str, Obj, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

The graph of all types and objects in the design.

+
+ +
+
+_toplevel: Union[Entity, Configuration]
+

When computed, the toplevel design unit is cached in this field.

+
+ +
+
+property Libraries: Dict[str, Library]
+

Read-only property to access the dictionary of library names and VHDL libraries (_libraries).

+
+
Returns:
+

A dictionary of library names and VHDL libraries.

+
+
+
+ +
+
+property Documents: List[Document]
+

Read-only property to access the list of all documents (VHDL source files) loaded for this design (_documents).

+
+
Returns:
+

A list of all documents.

+
+
+
+ +
+
+property CompileOrderGraph: Graph
+

Read-only property to access the compile-order graph (_compileOrderGraph).

+
+
Returns:
+

Reference to the compile-order graph.

+
+
+
+ +
+
+property DependencyGraph: Graph
+

Read-only property to access the dependency graph (_dependencyGraph).

+
+
Returns:
+

Reference to the dependency graph.

+
+
+
+ +
+
+property HierarchyGraph: Graph
+

Read-only property to access the hierarchy graph (_hierarchyGraph).

+
+
Returns:
+

Reference to the hierarchy graph.

+
+
+
+ +
+
+property ObjectGraph: Graph
+

Read-only property to access the object graph (_objectGraph).

+
+
Returns:
+

Reference to the object graph.

+
+
+
+ +
+
+property TopLevel: Entity | Configuration
+

Read-only property to access the design’s top-level (_toplevel).

+

When called the first time, the hierarchy graph is checked for its root elements. When there is only one root element in the graph, a new field toplevel +is added to _hierarchyGraph referencing that single element. In addition, the result is cached in _toplevel.

+
+
Returns:
+

Reference to the design’s top-level.

+
+
Raises:
+
+
+
+
+ +
+
+LoadStdLibrary()[source]
+

Load the predefined VHDL library std into the design.

+

This will create a virtual source code file std.vhdl and register VHDL design units of library std to that file.

+
+
Return type:
+

Library

+
+
Returns:
+

The library object of library std.

+
+
+
+ +
+
+LoadIEEELibrary()[source]
+

Load the predefined VHDL library ieee into the design.

+

This will create a virtual source code file ieee.vhdl and register VHDL design units of library ieee to that file.

+
+
Return type:
+

Library

+
+
Returns:
+

The library object of library ieee.

+
+
+
+ +
+
+AddLibrary(library)[source]
+

Add a VHDL library to the design.

+

Ensure the libraries name doesn’t collide with existing libraries in the design.
+If ok, set the libraries parent reference to the design.

+
+
Parameters:
+

library (Library) – Library object to loaded.

+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+GetLibrary(libraryName)[source]
+

Return an (existing) VHDL library object of name libraryName.

+

If the requested VHDL library doesn’t exist, a new VHDL library with that name will be created.

+
+
Parameters:
+

libraryName (str) – Name of the requested VHDL library.

+
+
Return type:
+

Library

+
+
Returns:
+

The VHDL library object.

+
+
+
+ +
+
+AddDocument(document, library)[source]
+

Add a document (VHDL source file) to the design and register all embedded design units to the given VHDL library.

+

Algorithm

+
    +
  1. Iterate all entities in the document

    +
      +
    1. Check if entity name might exist in target library.

    2. +
    3. Add entity to library and update library membership.

    4. +
    +
  2. +
  3. Iterate all architectures in the document

    +
      +
    1. Check if architecture name might exist in target library.

    2. +
    3. Add architecture to library and update library membership.

    4. +
    +
  4. +
  5. Iterate all packages in the document

    +
      +
    1. Check if package name might exist in target library.

    2. +
    3. Add package to library and update library membership.

    4. +
    +
  6. +
  7. Iterate all package bodies in the document

    +
      +
    1. Check if package body name might exist in target library.

    2. +
    3. Add package body to library and update library membership.

    4. +
    +
  8. +
  9. Iterate all configurations in the document

    +
      +
    1. Check if configuration name might exist in target library.

    2. +
    3. Add configuration to library and update library membership.

    4. +
    +
  10. +
  11. Iterate all contexts in the document

    +
      +
    1. Check if context name might exist in target library.

    2. +
    3. Add context to library and update library membership.

    4. +
    +
  12. +
+
+
Parameters:
+
    +
  • document (Document) – The VHDL source code file.

  • +
  • library (Library) – The VHDL library used to register the embedded design units to.

  • +
+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+IterateDesignUnits(filter=<DesignUnitKind.All: 63>)[source]
+

Iterate all design units in the design.

+

A union of DesignUnitKind values can be given to filter the returned result for suitable design units.

+

Algorithm

+
    +
  1. Iterate all VHDL libraries.

    +
      +
    1. Iterate all contexts in that library.

    2. +
    3. Iterate all packages in that library.

    4. +
    5. Iterate all package bodies in that library.

    6. +
    7. Iterate all entites in that library.

    8. +
    9. Iterate all architectures in that library.

    10. +
    11. Iterate all configurations in that library.

    12. +
    +
  2. +
+
+
Parameters:
+

filter (DesignUnitKind) – An enumeration with possibly multiple flags to filter the returned design units.

+
+
Return type:
+

Generator[DesignUnit, None, None]

+
+
Returns:
+

A generator to iterate all matched design units in the design.

+
+
+
+

See also

+
+
pyVHDLModel.Library.IterateDesignUnits()

Iterate all design units in the library.

+
+
pyVHDLModel.Document.IterateDesignUnits()

Iterate all design units in the document.

+
+
+
+
+ +
+
+Analyze()[source]
+

Analyze the whole design.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Analyze dependencies of design units.
    +This will also yield the design hierarchy and the compiler order.

  2. +
  3. Analyze dependencies of types and objects.

  4. +
+
+

See also

+
+
AnalyzeDependencies()

Analyze the dependencies of design units.

+
+
AnalyzeObjects()

Analyze the dependencies of types and objects.

+
+
+
+
+ +
+
+AnalyzeDependencies()[source]
+

Analyze the dependencies of design units.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Create all vertices of the dependency graph by iterating all design units in all libraries.
    +→ CreateDependencyGraph()

  2. +
  3. Create the compile order graph.
    +→ CreateCompileOrderGraph()

  4. +
  5. Index all packages.
    +→ IndexPackages()

  6. +
  7. Index all architectures.
    +→ IndexArchitectures()

  8. +
  9. Link all contexts
    +→ LinkContexts()

  10. +
  11. Link all architectures.
    +→ LinkArchitectures()

  12. +
  13. Link all package bodies.
    +→ LinkPackageBodies()

  14. +
  15. Link all library references.
    +→ LinkLibraryReferences()

  16. +
  17. Link all package references.
    +→ LinkPackageReferences()

  18. +
  19. Link all context references.
    +→ LinkContextReferences()

  20. +
  21. Link all components.
    +→ LinkComponents()

  22. +
  23. Link all instantiations.
    +→ LinkInstantiations()

  24. +
  25. Create the hierarchy graph.
    +→ CreateHierarchyGraph()

  26. +
  27. Compute the compile order.
    +→ ComputeCompileOrder()

  28. +
+
+ +
+
+AnalyzeObjects()[source]
+

Analyze the dependencies of types and objects.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Index all entities.
    +→ IndexEntities()

  2. +
  3. Index all package bodies.
    +→ IndexPackageBodies()

  4. +
  5. Import objects.
    +→ ImportObjects()

  6. +
  7. Create the type and object graph.
    +→ CreateTypeAndObjectGraph()

  8. +
+
+ +
+
+CreateDependencyGraph()[source]
+

Create all vertices of the dependency graph by iterating all design units in all libraries.

+

This method will purely create a sea of vertices without any linking between vertices. The edges will be created later by other methods.
+See AnalyzeDependencies() for these methods and their algorithmic order.

+

Each vertex has the following properties: +:rtype: None

+
    +
  • The vertex’ ID is the design unit’s identifier.

  • +
  • The vertex’ value references the design unit.

  • +
  • A key-value-pair called kind denotes the vertex’s kind as an enumeration value of type DependencyGraphVertexKind.

  • +
  • A key-value-pair called predefined denotes if the referenced design unit is a predefined language entity.

  • +
+

Algorithm

+
    +
  1. Iterate all libraries in the design.

    +
      +
    • Create a vertex for that library and reference the library by the vertex’ value field.
      +In return, set the library’s _dependencyVertex field to reference the created vertex.

    • +
    +
      +
    1. Iterate all contexts in that library.

      +
        +
      • Create a vertex for that context and reference the context by the vertex’ value field.
        +In return, set the context’s _dependencyVertex field to reference the created vertex.

      • +
      +
    2. +
    3. Iterate all packages in that library.

      +
        +
      • Create a vertex for that package and reference the package by the vertex’ value field.
        +In return, set the package’s _dependencyVertex field to reference the created vertex.

      • +
      +
    4. +
    5. Iterate all package bodies in that library.

      +
        +
      • Create a vertex for that package body and reference the package body by the vertex’ value field.
        +In return, set the package body’s _dependencyVertex field to reference the created vertex.

      • +
      +
    6. +
    7. Iterate all entities in that library.

      +
        +
      • Create a vertex for that entity and reference the entity by the vertex’ value field.
        +In return, set the entity’s _dependencyVertex field to reference the created vertex.

      • +
      +
    8. +
    9. Iterate all architectures in that library.

      +
        +
      • Create a vertex for that architecture and reference the architecture by the vertex’ value field.
        +In return, set the architecture’s _dependencyVertex field to reference the created vertex.

      • +
      +
    10. +
    11. Iterate all configurations in that library.

      +
        +
      • Create a vertex for that configuration and reference the configuration by the vertex’ value field.
        +In return, set the configuration’s _dependencyVertex field to reference the created vertex.

      • +
      +
    12. +
    +
  2. +
+
+
Return type:
+

None

+
+
+
+ +
+
+CreateCompileOrderGraph()[source]
+

Create a compile-order graph with bidirectional references to the dependency graph.

+

Add vertices representing a document (VHDL source file) to the dependency graph. Each “document” vertex in dependency graph is copied into the compile-order +graph and bidirectionally referenced.

+

In addition, each vertex of a corresponding design unit in a document is linked to the vertex representing that document to express the design unit in +document relationship.

+

Each added vertex has the following properties: +:rtype: None

+
    +
  • The vertex’ ID is the document’s filename.

  • +
  • The vertex’ value references the document.

  • +
  • A key-value-pair called kind denotes the vertex’s kind as an enumeration value of type DependencyGraphVertexKind.

  • +
  • A key-value-pair called predefined does not exist.

  • +
+

Algorithm

+
    +
  1. Iterate all documents in the design.

    +
      +
    • Create a vertex for that document and reference the document by the vertex’ value field.
      +In return, set the documents’s _dependencyVertex field to reference the created vertex.

    • +
    • Copy the vertex from dependency graph to compile-order graph and link both vertices bidirectionally.
      +In addition, set the documents’s _dependencyVertex field to reference the copied vertex.

      +
        +
      • Add a key-value-pair called compileOrderVertex to the dependency graph’s vertex.

      • +
      • Add a key-value-pair called dependencyVertex to the compiler-order graph’s vertex.

      • +
      +
    • +
    +
      +
    1. Iterate the documents design units and create an edge from the design unit’s corresponding dependency vertex to the documents corresponding +dependency vertex. This expresses a “design unit is located in document” relation.

      +
        +
      • Add a key-value-pair called kind` denoting the edge’s kind as an enumeration value of type DependencyGraphEdgeKind.

      • +
      +
    2. +
    +
  2. +
+
+
Return type:
+

None

+
+
+
+ +
+
+LinkContexts()[source]
+

Resolves and links all items (library clauses, use clauses and nested context references) in contexts.

+

It iterates all contexts in the design. Therefore, the library of the context is used as the working library. By +default, the working library is implicitly referenced in _referencedLibraries. In addition, a new empty +dictionary is created in _referencedPackages and _referencedContexts for that working library.

+

At first, all library clauses are resolved (a library clause my have multiple library reference symbols). For each +referenced library an entry in _referencedLibraries is generated and new empty dictionaries in +_referencedPackages and _referencedContexts for that working library. In addition, a vertex in the +dependency graph is added for that relationship.

+

At second, all use clauses are resolved (a use clause my have multiple package member reference symbols). For each +referenced package,

+
+
Return type:
+

None

+
+
+
+ +
+
+LinkArchitectures()[source]
+

Link all architectures to corresponding entities in all libraries.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all libraries:

    +
      +
    1. Iterate all architecture groups (grouped per entity symbol’s name). +→ pyVHDLModel.Library.LinkArchitectures()

      +
        +
      • Check if entity symbol’s name exists as an entity in this library.

      • +
      +
        +
      1. For each architecture in the same architecture group:

        +
          +
        • Add architecture to entities architecture dictionary pyVHDLModel.DesignUnit.Entity._architectures.

        • +
        • Assign found entity to architecture’s entity symbol pyVHDLModel.DesignUnit.Architecture._entity

        • +
        • Set parent namespace of architecture’s namespace to the entitie’s namespace.

        • +
        • Add an edge in the dependency graph from the architecture’s corresponding dependency vertex to the entity’s corresponding dependency vertex.

        • +
        +
      2. +
      +
    2. +
    +
  2. +
+
+

See also

+
+
LinkPackageBodies()

Link all package bodies to corresponding packages in all libraries.

+
+
+
+
+ +
+
+LinkPackageBodies()[source]
+

Link all package bodies to corresponding packages in all libraries.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all libraries:

    +
      +
    1. Iterate all package bodies. +→ pyVHDLModel.Library.LinkPackageBodies()

      +
        +
      • Check if package body symbol’s name exists as a package in this library.

      • +
      • Add package body to package pyVHDLModel.DesignUnit.Package._packageBody.

      • +
      • Assign found package to package body’s package symbol pyVHDLModel.DesignUnit.PackageBody._package

      • +
      • Set parent namespace of package body’s namespace to the package’s namespace.

      • +
      • Add an edge in the dependency graph from the package body’s corresponding dependency vertex to the package’s corresponding dependency vertex.

      • +
      +
    2. +
    +
  2. +
+
+

See also

+
+
LinkArchitectures()

Link all architectures to corresponding entities in all libraries.

+
+
+
+
+ +
+
+IndexPackages()[source]
+

Index all declared items in all packages in all libraries.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all libraries:

    +
      +
    1. Iterate all packages
      +→ pyVHDLModel.Library.IndexPackages()

      + +
    2. +
    +
  2. +
+
+

See also

+
+
IndexPackageBodies()

Index all declared items in all package bodies in all libraries.

+
+
IndexEntities()

Index all declared items in all entities in all libraries.

+
+
IndexArchitectures()

Index all declared items in all architectures in all libraries.

+
+
+
+
+ +
+
+IndexPackageBodies()[source]
+

Index all declared items in all packages in all libraries.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all libraries:

    +
      +
    1. Iterate all packages
      +→ pyVHDLModel.Library.IndexPackageBodies()

      + +
    2. +
    +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in all packages in all libraries.

+
+
IndexEntities()

Index all declared items in all entities in all libraries.

+
+
IndexArchitectures()

Index all declared items in all architectures in all libraries.

+
+
+
+
+ +
+
+IndexEntities()[source]
+

Index all declared items in all packages in all libraries.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all libraries:

    +
      +
    1. Iterate all packages
      +→ pyVHDLModel.Library.IndexEntities()

      + +
    2. +
    +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in all packages in all libraries.

+
+
IndexPackageBodies()

Index all declared items in all package bodies in all libraries.

+
+
IndexArchitectures()

Index all declared items in all architectures in all libraries.

+
+
+
+
+ +
+
+IndexArchitectures()[source]
+

Index all declared items in all packages in all libraries.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all libraries:

    +
      +
    1. Iterate all packages
      +→ pyVHDLModel.Library.IndexArchitectures()

      + +
    2. +
    +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in all packages in all libraries.

+
+
IndexPackageBodies()

Index all declared items in all package bodies in all libraries.

+
+
IndexEntities()

Index all declared items in all entities in all libraries.

+
+
+
+
+ +
+
+CreateHierarchyGraph()[source]
+

Create the hierarchy graph from dependency graph.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all vertices corresponding to entities and architectures in the dependency graph:

    +
      +
    • Copy these vertices to the hierarchy graph and create a bidirectional linking.
      +In addition, set the referenced design unit’s _hierarchyVertex field to reference the copied vertex.

      +
        +
      • Add a key-value-pair called hierarchyVertex to the dependency graph’s vertex.

      • +
      • Add a key-value-pair called dependencyVertex to the hierarchy graph’s vertex.

      • +
      +
    • +
    +
  2. +
  3. Iterate all architectures …

    +
    +

    Todo

    +

    Design::CreateHierarchyGraph describe algorithm

    +
    +
      +
    1. Iterate all outbound edges

      +
      +

      Todo

      +

      Design::CreateHierarchyGraph describe algorithm

      +
      +
    2. +
    +
  4. +
+
+ +
+
+IterateDocumentsInCompileOrder()[source]
+

Iterate all document in compile-order.

+

Algorithm

+
    +
  • Check if compile-order graph was populated with vertices and its vertices are linked by edges.

  • +
+
    +
  1. Iterate compile-order graph in topological order.
    +pyTooling.Graph.Graph.IterateTopologically()

    +
      +
    • yield the compiler-order vertex’ referenced document.

    • +
    +
  2. +
+
+
Return type:
+

Generator[Document, None, None]

+
+
Returns:
+

A generator to iterate all documents in compile-order in the design.

+
+
Raises:
+

VHDLModelException – If compile-order was not computed.

+
+
+
+

See also

+
+

Todo

+

missing text

+

pyVHDLModel.Design.ComputeCompileOrder()

+
+
+
+ +
+
+__repr__()[source]
+

Formats a representation of the design.

+

Format: Document: 'my_design'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the design.

+
+
+
+ +
+
+__str__()
+

Formats a representation of the design.

+

Format: Document: 'my_design'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the design.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Library(identifier, parent=None)[source]
+

A Library represents a VHDL library. It contains all primary and secondary design units.

+

Inheritance

+
+

Inheritance diagram of Library

+
+
Parameters:
+
+
+
+
+
+__init__(identifier, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • identifier (str)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_contexts: Dict[str, Context]
+

Dictionary of all contexts defined in a library.

+
+ +
+
+_configurations: Dict[str, Configuration]
+

Dictionary of all configurations defined in a library.

+
+ +
+
+_entities: Dict[str, Entity]
+

Dictionary of all entities defined in a library.

+
+ +
+
+_architectures: Dict[str, Dict[str, Architecture]]
+

Dictionary of all architectures defined in a library.

+
+ +
+
+_packages: Dict[str, Package]
+

Dictionary of all packages defined in a library.

+
+ +
+
+_packageBodies: Dict[str, PackageBody]
+

Dictionary of all package bodies defined in a library.

+
+ +
+
+_dependencyVertex: Vertex[None, None, str, Union[Library, DesignUnit], None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the library.
This reference is set by CreateDependencyGraph().

+
+ +
+
+property Contexts: Dict[str, Context]
+

Returns a list of all context declarations declared in this library.

+
+ +
+
+property Configurations: Dict[str, Configuration]
+

Returns a list of all configuration declarations declared in this library.

+
+ +
+
+property Entities: Dict[str, Entity]
+

Returns a list of all entity declarations declared in this library.

+
+ +
+
+property Architectures: Dict[str, Dict[str, Architecture]]
+

Returns a list of all architectures declarations declared in this library.

+
+ +
+
+property Packages: Dict[str, Package]
+

Returns a list of all package declarations declared in this library.

+
+ +
+
+property PackageBodies: Dict[str, PackageBody]
+

Returns a list of all package body declarations declared in this library.

+
+ +
+
+property DependencyVertex: Vertex
+

Read-only property to access the corresponding dependency vertex (_dependencyVertex).

+

The dependency vertex references this library by its value field.

+
+
Returns:
+

The corresponding dependency vertex.

+
+
+
+ +
+
+IterateDesignUnits(filter=<DesignUnitKind.All: 63>)[source]
+

Iterate all design units in the library.

+

A union of DesignUnitKind values can be given to filter the returned result for suitable design units.

+

Algorithm

+
    +
  1. Iterate all contexts in that library.

  2. +
  3. Iterate all packages in that library.

  4. +
  5. Iterate all package bodies in that library.

  6. +
  7. Iterate all entites in that library.

  8. +
  9. Iterate all architectures in that library.

  10. +
  11. Iterate all configurations in that library.

  12. +
+
+
Parameters:
+

filter (DesignUnitKind) – An enumeration with possibly multiple flags to filter the returned design units.

+
+
Return type:
+

Generator[DesignUnit, None, None]

+
+
Returns:
+

A generator to iterate all matched design units in the library.

+
+
+
+

See also

+
+
pyVHDLModel.Design.IterateDesignUnits()

Iterate all design units in the design.

+
+
pyVHDLModel.Document.IterateDesignUnits()

Iterate all design units in the document.

+
+
+
+
+ +
+
+LinkArchitectures()[source]
+

Link all architectures to corresponding entities.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all architecture groups (grouped per entity symbol’s name).

    +
      +
    • Check if entity symbol’s name exists as an entity in this library.

    • +
    +
      +
    1. For each architecture in the same architecture group:

      +
        +
      • Add architecture to entities architecture dictionary pyVHDLModel.DesignUnit.Entity._architectures.

      • +
      • Assign found entity to architecture’s entity symbol pyVHDLModel.DesignUnit.Architecture._entity

      • +
      • Set parent namespace of architecture’s namespace to the entitie’s namespace.

      • +
      • Add an edge in the dependency graph from the architecture’s corresponding dependency vertex to the entity’s corresponding dependency vertex.

      • +
      +
    2. +
    +
  2. +
+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+

See also

+
+
LinkPackageBodies()

Link all package bodies to corresponding packages.

+
+
+
+
+ +
+
+LinkPackageBodies()[source]
+

Link all package bodies to corresponding packages.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all package bodies.

    +
      +
    • Check if package body symbol’s name exists as a package in this library.

    • +
    • Add package body to package pyVHDLModel.DesignUnit.Package._packageBody.

    • +
    • Assign found package to package body’s package symbol pyVHDLModel.DesignUnit.PackageBody._package

    • +
    • Set parent namespace of package body’s namespace to the package’s namespace.

    • +
    • Add an edge in the dependency graph from the package body’s corresponding dependency vertex to the package’s corresponding dependency vertex.

    • +
    +
  2. +
+
+
Raises:
+

VHDLModelException – If package name doesn’t exist.

+
+
Return type:
+

None

+
+
+
+

See also

+
+
LinkArchitectures()

Link all architectures to corresponding entities.

+
+
+
+
+ +
+
+IndexPackages()[source]
+

Index declared items in all packages.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all packages:

    + +
  2. +
+
+

See also

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexPackageBodies()[source]
+

Index declared items in all package bodies.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all package bodies:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexEntities()[source]
+

Index declared items in all entities.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all entities:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexArchitectures()

Index all declared items in an architecture.

+
+
+
+
+ +
+
+IndexArchitectures()[source]
+

Index declared items in all architectures.

+
+
Return type:
+

None

+
+
+

Algorithm

+
    +
  1. Iterate all architectures:

    + +
  2. +
+
+

See also

+
+
IndexPackages()

Index all declared items in a package.

+
+
IndexPackageBodies()

Index all declared items in a package body.

+
+
IndexEntities()

Index all declared items in an entity.

+
+
+
+
+ +
+
+__repr__()[source]
+

Formats a representation of the library.

+

Format: Library: 'my_library'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the library.

+
+
+
+ +
+
+__str__()
+

Formats a representation of the library.

+

Format: Library: 'my_library'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the library.

+
+
+
+ +
+
+_identifier: str
+

The identifier of a model entity.

+
+ +
+
+_normalizedIdentifier: str
+

The normalized (lower case) identifier of a model entity.

+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Identifier: str
+

Returns a model entity’s identifier (name).

+
+
Returns:
+

Name of a model entity.

+
+
+
+ +
+
+property NormalizedIdentifier: str
+

Returns a model entity’s normalized identifier (lower case name).

+
+
Returns:
+

Normalized name of a model entity.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+ +
+
+class pyVHDLModel.Document(path, documentation=None, parent=None)[source]
+

A Document represents a sourcefile. It contains primary and secondary design units.

+

Inheritance

+
+

Inheritance diagram of Document

+
+
Parameters:
+
+
+
+
+
+__init__(path, documentation=None, parent=None)[source]
+

Initializes a VHDL model entity.

+
+
Parameters:
+
    +
  • parent (ModelEntity) – The parent model entity of this entity.

  • +
  • path (Path)

  • +
  • documentation (str | None)

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_path: Path
+

path to the document. None if virtual document.

+
+ +
+
+_designUnits: List[DesignUnit]
+

List of all design units defined in a document.

+
+ +
+
+_contexts: Dict[str, Context]
+

Dictionary of all contexts defined in a document.

+
+ +
+
+_configurations: Dict[str, Configuration]
+

Dictionary of all configurations defined in a document.

+
+ +
+
+_entities: Dict[str, Entity]
+

Dictionary of all entities defined in a document.

+
+ +
+
+_architectures: Dict[str, Dict[str, Architecture]]
+

Dictionary of all architectures defined in a document.

+
+ +
+
+_packages: Dict[str, Package]
+

Dictionary of all packages defined in a document.

+
+ +
+
+_packageBodies: Dict[str, PackageBody]
+

Dictionary of all package bodies defined in a document.

+
+ +
+
+_verificationUnits: Dict[str, VerificationUnit]
+

Dictionary of all PSL verification units defined in a document.

+
+ +
+
+_verificationProperties: Dict[str, VerificationProperty]
+

Dictionary of all PSL verification properties defined in a document.

+
+ +
+
+_verificationModes: Dict[str, VerificationMode]
+

Dictionary of all PSL verification modes defined in a document.

+
+ +
+
+_documentation: Optional[str]
+

The associated documentation of a model entity.

+
+ +
+
+property Documentation: str | None
+

Returns a model entity’s associated documentation.

+
+
Returns:
+

Associated documentation of a model entity.

+
+
+
+ +
+
+class property HasClassAttributes: bool
+

Read-only property to check if the class has Attributes (__pyattr__).

+
+
Returns:
+

True, if the class has Attributes.

+
+
+
+ +
+
+class property HasMethodAttributes: bool
+

Read-only property to check if the class has methods with Attributes (__methodsWithAttributes__).

+
+
Returns:
+

True, if the class has any method with Attributes.

+
+
+
+ +
+
+property Parent: ModelEntity
+

Read-only property to access the model entity’s parent element reference in a logical hierarchy (_parent).

+
+
Returns:
+

Reference to the parent entity.

+
+
+
+ +
+
+_parent: ModelEntity
+

Reference to a parent entity in the logical model hierarchy.

+
+ +
+
+_dependencyVertex: Vertex[None, None, None, Document, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the dependency graph representing the document.
This reference is set by CreateCompileOrderGraph().

+
+ +
+
+_compileOrderVertex: Vertex[None, None, None, Document, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Reference to the vertex in the compile-order graph representing the document.
This reference is set by CreateCompileOrderGraph().

+
+ +
+
+_AddEntity(item)[source]
+

Add an entity to the document’s lists of design units.

+
+
Parameters:
+

item (Entity) – Entity object to be added to the document.

+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_AddArchitecture(item)[source]
+

Add an architecture to the document’s lists of design units.

+
+
Parameters:
+

item (Architecture) – Architecture object to be added to the document.

+
+
Raises:
+
    +
  • TypeError – If parameter ‘item’ is not of type Architecture.

  • +
  • VHDLModelException – If architecture name already exists for the referenced entity name in document.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_AddPackage(item)[source]
+

Add a package to the document’s lists of design units.

+
+
Parameters:
+

item (Package) – Package object to be added to the document.

+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_AddPackageBody(item)[source]
+

Add a package body to the document’s lists of design units.

+
+
Parameters:
+

item (PackageBody) – Package body object to be added to the document.

+
+
Raises:
+
    +
  • TypeError – If parameter ‘item’ is not of type PackageBody.

  • +
  • VHDLModelException – If package body name already exists in document.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_AddContext(item)[source]
+

Add a context to the document’s lists of design units.

+
+
Parameters:
+

item (Context) – Context object to be added to the document.

+
+
Raises:
+
+
+
Return type:
+

None

+
+
+
+ +
+
+_AddConfiguration(item)[source]
+

Add a configuration to the document’s lists of design units.

+
+
Parameters:
+

item (Configuration) – Configuration object to be added to the document.

+
+
Raises:
+
    +
  • TypeError – If parameter ‘item’ is not of type Configuration.

  • +
  • VHDLModelException – If configuration name already exists in document.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+_AddDesignUnit(item)[source]
+

Add a design unit to the document’s lists of design units.

+
+
Parameters:
+

item (DesignUnit) – Configuration object to be added to the document.

+
+
Raises:
+
    +
  • TypeError – If parameter ‘item’ is not of type DesignUnit.

  • +
  • ValueError – If parameter ‘item’ is an unknown DesignUnit.

  • +
  • VHDLModelException – If configuration name already exists in document.

  • +
+
+
Return type:
+

None

+
+
+
+ +
+
+property Path: Path
+

Read-only property to access the document’s path (_path).

+
+
Returns:
+

The path of this document.

+
+
+
+ +
+
+property DesignUnits: List[DesignUnit]
+

Read-only property to access a list of all design units declarations found in this document (_designUnits).

+
+
Returns:
+

List of all design units.

+
+
+
+ +
+
+property Contexts: Dict[str, Context]
+

Read-only property to access a list of all context declarations found in this document (_contexts).

+
+
Returns:
+

List of all contexts.

+
+
+
+ +
+
+property Configurations: Dict[str, Configuration]
+

Read-only property to access a list of all configuration declarations found in this document (_configurations).

+
+
Returns:
+

List of all configurations.

+
+
+
+ +
+
+property Entities: Dict[str, Entity]
+

Read-only property to access a list of all entity declarations found in this document (_entities).

+
+
Returns:
+

List of all entities.

+
+
+
+ +
+
+property Architectures: Dict[str, Dict[str, Architecture]]
+

Read-only property to access a list of all architecture declarations found in this document (_architectures).

+
+
Returns:
+

List of all architectures.

+
+
+
+ +
+
+property Packages: Dict[str, Package]
+

Read-only property to access a list of all package declarations found in this document (_packages).

+
+
Returns:
+

List of all packages.

+
+
+
+ +
+
+property PackageBodies: Dict[str, PackageBody]
+

Read-only property to access a list of all package body declarations found in this document (_packageBodies).

+
+
Returns:
+

List of all package bodies.

+
+
+
+ +
+
+property VerificationUnits: Dict[str, VerificationUnit]
+

Read-only property to access a list of all verification unit declarations found in this document (_verificationUnits).

+
+
Returns:
+

List of all verification units.

+
+
+
+ +
+
+property VerificationProperties: Dict[str, VerificationProperty]
+

Read-only property to access a list of all verification properties declarations found in this document (_verificationProperties).

+
+
Returns:
+

List of all verification properties.

+
+
+
+ +
+
+property VerificationModes: Dict[str, VerificationMode]
+

Read-only property to access a list of all verification modes declarations found in this document (_verificationModes).

+
+
Returns:
+

List of all verification modes.

+
+
+
+ +
+
+property CompileOrderVertex: Vertex[None, None, None, Document, None, None, None, None, None, None, None, None, None, None, None, None, None]
+

Read-only property to access the corresponding compile-order vertex (_compileOrderVertex).

+

The compile-order vertex references this document by its value field.

+
+
Returns:
+

The corresponding compile-order vertex.

+
+
+
+ +
+
+IterateDesignUnits(filter=<DesignUnitKind.All: 63>)[source]
+

Iterate all design units in the document.

+

A union of DesignUnitKind values can be given to filter the returned result for suitable design units.

+

Algorithm

+
    +
  • If contexts are selected in the filter:

    +
      +
    1. Iterate all contexts in that library.

    2. +
    +
  • +
  • If packages are selected in the filter:

    +
      +
    1. Iterate all packages in that library.

    2. +
    +
  • +
  • If package bodies are selected in the filter:

    +
      +
    1. Iterate all package bodies in that library.

    2. +
    +
  • +
  • If entites are selected in the filter:

    +
      +
    1. Iterate all entites in that library.

    2. +
    +
  • +
  • If architectures are selected in the filter:

    +
      +
    1. Iterate all architectures in that library.

    2. +
    +
  • +
  • If configurations are selected in the filter:

    +
      +
    1. Iterate all configurations in that library.

    2. +
    +
  • +
+
+
Parameters:
+

filter (DesignUnitKind) – An enumeration with possibly multiple flags to filter the returned design units.

+
+
Return type:
+

Generator[DesignUnit, None, None]

+
+
Returns:
+

A generator to iterate all matched design units in the document.

+
+
+
+

See also

+
+
pyVHDLModel.Design.IterateDesignUnits()

Iterate all design units in the design.

+
+
pyVHDLModel.Library.IterateDesignUnits()

Iterate all design units in the library.

+
+
+
+
+ +
+
+__repr__()[source]
+

Formats a representation of the document.

+

Format: Document: 'path/to/file.vhdl'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the document.

+
+
+
+ +
+
+__str__()
+

Formats a representation of the document.

+

Format: Document: 'path/to/file.vhdl'

+
+
Return type:
+

str

+
+
Returns:
+

String representation of the document.

+
+
+
+ +
+ +
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file diff --git a/search.html b/search.html new file mode 100644 index 000000000..66e3009a4 --- /dev/null +++ b/search.html @@ -0,0 +1,168 @@ + + + + + + Search — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+
    +
  • + +
  • +
  • +
+
+
+
+
+ + + + +
+ +
+ +
+
+
+ +
+ +
+

© Copyright 2016-2024, Patrick Lehmann. + Last updated on 27.09.2024. +

+
+ + Built with Sphinx using a + theme + provided by Read the Docs. + + +
+
+
+
+
+ + + + + + + + + \ No newline at end of file diff --git a/searchindex.js b/searchindex.js new file mode 100644 index 000000000..c070ba883 --- /dev/null +++ b/searchindex.js @@ -0,0 +1 @@ +Search.setIndex({"alltitles": {"1. Create Dependency Graph": [[0, "create-dependency-graph"]], "1. Definitions.": [[24, "definitions"]], "10. Link Context References": [[0, "link-context-references"]], "11. Link Components": [[0, "link-components"]], "12. Link Instantiations": [[0, "link-instantiations"]], "13. Create Hierarchy Graph": [[0, "create-hierarchy-graph"]], "14. Compute Compile Order": [[0, "compute-compile-order"]], "2. Create Compile Order Graph": [[0, "create-compile-order-graph"]], "2. Grant of Copyright License.": [[24, "grant-of-copyright-license"]], "26.12.2020": [[1, "id1"]], "3. Grant of Patent License.": [[24, "grant-of-patent-license"]], "3. Index Packages": [[0, "index-packages"]], "4. Index Architectures": [[0, "index-architectures"]], "4. Redistribution.": [[24, "redistribution"]], "5. Link Contexts": [[0, "link-contexts"]], "5. Submission of Contributions.": [[24, "submission-of-contributions"]], "6. Link Architectures": [[0, "link-architectures"]], "6. Trademarks.": [[24, "trademarks"]], "7. Disclaimer of Warranty.": [[24, "disclaimer-of-warranty"]], "7. Link Package Bodies": [[0, "link-package-bodies"]], "8. Limitation of Liability.": [[24, "limitation-of-liability"]], "8. Link Library References": [[0, "link-library-references"]], "9. Accepting Warranty or Additional Liability.": [[24, "accepting-warranty-or-additional-liability"]], "9. Link Package References": [[0, "link-package-references"]], "Access": [[22, "access"]], "Adding Expressions": [[15, "adding-expressions"]], "Analyze": [[0, null]], "Apache License 2.0": [[24, null]], "Architeture": [[13, "architeture"]], "Array": [[22, "array"]], "Assert Statement": [[12, "assert-statement"], [19, "assert-statement"]], "Assignments": [[19, "assignments"]], "Bash": [[9, null], [9, null], [9, null], [9, null]], "Binary Expressions": [[15, "binary-expressions"]], "Branching": [[19, "branching"]], "Case Generate": [[12, "case-generate"]], "Case Statement": [[19, "case-statement"]], "ChangeLog": [[1, null]], "Code Coverage Report": [[26, null]], "Compile Order Graph": [[2, null], [5, "compile-order-graph"]], "Component Instantiation": [[12, "component-instantiation"]], "Composite Types": [[22, "composite-types"]], "Concepts not defined by VHDL": [[17, null]], "Concurrent Block Statement": [[12, "concurrent-block-statement"]], "Concurrent Statements": [[12, null]], "Configuration": [[13, "configuration"]], "Configuration Instantiation": [[12, "configuration-instantiation"]], "Constant": [[18, "constant"]], "Constant as Parameter": [[18, "constant-as-parameter"]], "Constants": [[18, "constants"]], "Context": [[13, "context"]], "Contributors": [[27, "contributors"]], "Copyright Information": [[28, null]], "Creative Commons Attribution 4.0 International": [[7, null]], "Data Structures": [[5, null]], "Dec. 2020 - Split from pyVHDLParser": [[27, "dec-2020-split-from-pyvhdlparser"]], "Dec. 2022 - Added Documentation Property": [[27, "dec-2022-added-documentation-property"]], "Deferred Constant": [[18, "deferred-constant"]], "Dependency": [[6, null]], "Dependency Analysis": [[0, "dependency-analysis"]], "Dependency Graph": [[3, null], [5, "dependency-graph"]], "Design": [[17, "design"]], "Design Units": [[13, null]], "Direction": [[14, "direction"]], "Document": [[17, "document"]], "Documentation Coverage": [[8, null]], "Endless Loop": [[19, "endless-loop"]], "Entity": [[13, "entity"]], "Entity Instantiation": [[12, "entity-instantiation"]], "Enumeration": [[22, "enumeration"]], "Enumeration Literal": [[15, "enumeration-literal"]], "Enumerations": [[14, null]], "Example": [[32, null], [32, null], [32, null], [32, null], [32, null], [32, null], [32, null], [32, null], [32, null], [32, null], [33, null], [33, null], [34, null], [34, null], [34, null], [34, null], [34, null], [34, null], [34, null], [34, null], [34, null], [34, null], [42, null], [42, null], [42, null], [42, null], [49, null], [49, null], [49, null], [49, null], [49, null], [49, null], [49, null], [49, null], [49, null], [49, null]], "Exit Statement": [[19, "exit-statement"]], "Expressions": [[15, "expressions"]], "File": [[18, "file"], [22, "file"]], "File as Parameter": [[18, "file-as-parameter"]], "Files": [[18, "files"]], "Floating Point Literal": [[15, "floating-point-literal"]], "For Generate": [[12, "for-generate"]], "For Loop": [[19, "for-loop"]], "Function": [[20, "function"]], "Function Instantiation": [[20, "function-instantiation"]], "Function as Method": [[20, "function-as-method"]], "Functions": [[20, "functions"]], "GHDL as Parser": [[9, "ghdl-as-parser"]], "Generate Statements": [[12, "generate-statements"]], "Generic Constant": [[18, "generic-constant"]], "Generic Function": [[20, "generic-function"]], "Generic Interface Items": [[16, "generic-interface-items"]], "Generic Procedure": [[20, "generic-procedure"]], "GenericConstantInterfaceItem": [[16, "genericconstantinterfaceitem"]], "GenericFunctionInterfaceItem": [[16, "genericfunctioninterfaceitem"]], "GenericPackageInterfaceItem": [[16, "genericpackageinterfaceitem"]], "GenericProcedureInterfaceItem": [[16, "genericprocedureinterfaceitem"]], "GenericTypeInterfaceItem": [[16, "generictypeinterfaceitem"]], "Getting Started": [[9, null]], "GettingStarted.py": [[9, null]], "Glossary": [[10, null]], "Graphs": [[5, "graphs"]], "Hierarchy Graph": [[4, null], [5, "hierarchy-graph"]], "If Generate": [[12, "if-generate"]], "If Statement": [[19, "if-statement"]], "Installation and Setup": [[9, "installation-and-setup"]], "Installation using setup.py": [[11, "installation-using-setup-py"]], "Installation/Updates": [[11, null]], "Installing a Wheel Package from PyPI using PIP": [[11, "installing-a-wheel-package-from-pypi-using-pip"]], "Instantiations": [[12, "instantiations"]], "Integer": [[22, "integer"]], "Integer Literal": [[15, "integer-literal"]], "Interface Items": [[16, null]], "Jan. 2021 - Documentation enhancements": [[27, "jan-2021-documentation-enhancements"]], "Jan. 2023 - Dependency, Hierarchy, Compile Order Analysis": [[27, "jan-2023-dependency-hierarchy-compile-order-analysis"]], "Jul. 2021 - First adoption and enhancements": [[27, "jul-2021-first-adoption-and-enhancements"]], "Jun. 2021 - Model and documentation enhancements": [[27, "jun-2021-model-and-documentation-enhancements"]], "Library": [[17, "library"]], "License": [[27, "license"]], "Literals": [[15, "literals"]], "Literals and Expressions": [[15, null]], "Local Packaging and Installation via PIP": [[11, "local-packaging-and-installation-via-pip"]], "Logical Expressions": [[15, "logical-expressions"]], "Loops": [[19, "loops"]], "Main Goals": [[27, "main-goals"]], "Mode": [[14, "mode"]], "Multiplying Expressions": [[15, "multiplying-expressions"]], "News": [[27, "news"]], "Next Statement": [[19, "next-statement"]], "Object Declarations": [[18, null]], "Object ObjectClass": [[14, "object-objectclass"]], "On Linux": [[9, "on-linux"]], "On Mac": [[9, "on-mac"]], "On Windows - MSYS2": [[9, "on-windows-msys2"]], "On Windows - Native": [[9, "on-windows-native"]], "On Windows from Sources": [[9, "on-windows-from-sources"]], "Package": [[13, "package"]], "Package Body": [[13, "package-body"]], "Packaging (Optional)": [[6, "packaging-optional"]], "Parameter Interface Item": [[16, "parameter-interface-item"]], "ParameterConstantInterfaceItem": [[16, "parameterconstantinterfaceitem"]], "ParameterFileInterfaceItem": [[16, "parameterfileinterfaceitem"]], "ParameterSignalInterfaceItem": [[16, "parametersignalinterfaceitem"]], "ParameterVariableInterfaceItem": [[16, "parametervariableinterfaceitem"]], "Physical": [[22, "physical"]], "Physical Literal": [[15, "physical-literal"]], "Port Interface Item": [[16, "port-interface-item"]], "PortSignalInterfaceItem": [[16, "portsignalinterfaceitem"]], "PowerShell": [[9, null], [9, null]], "Primary Units": [[13, "primary-units"]], "Procedure": [[20, "procedure"]], "Procedure Call": [[12, "procedure-call"], [19, "procedure-call"]], "Procedure Instantiation": [[20, "procedure-instantiation"]], "Procedure as Method": [[20, "procedure-as-method"]], "Procedures": [[20, "procedures"]], "Process": [[12, "process"]], "Protected": [[22, "protected"]], "Publishing (CI-Server only)": [[6, "publishing-ci-server-only"]], "Real": [[22, "real"]], "Record": [[22, "record"]], "Relational Expressions": [[15, "relational-expressions"]], "Report Statement": [[19, "report-statement"]], "Reporting": [[19, "reporting"]], "Return Statement": [[19, "return-statement"]], "Scalar Types": [[22, "scalar-types"]], "Secondary Units": [[13, "secondary-units"]], "Section 1 \u2013 Definitions.": [[7, "section-1-definitions"]], "Section 2 \u2013 Scope.": [[7, "section-2-scope"]], "Section 3 \u2013 License Conditions.": [[7, "section-3-license-conditions"]], "Section 4 \u2013 Sui Generis Database Rights.": [[7, "section-4-sui-generis-database-rights"]], "Section 5 \u2013 Disclaimer of Warranties and Limitation of Liability.": [[7, "section-5-disclaimer-of-warranties-and-limitation-of-liability"]], "Section 6 \u2013 Term and Termination.": [[7, "section-6-term-and-termination"]], "Section 7 \u2013 Other Terms and Conditions.": [[7, "section-7-other-terms-and-conditions"]], "Section 8 \u2013 Interpretation.": [[7, "section-8-interpretation"]], "Sequential Statements": [[19, null]], "Shared Variable": [[18, "shared-variable"]], "Shifting Expressions": [[15, "shifting-expressions"]], "Signal": [[18, "signal"]], "Signal Assignment": [[12, "signal-assignment"], [19, "signal-assignment"]], "Signal as Parameter": [[18, "signal-as-parameter"]], "Signal as Port": [[18, "signal-as-port"]], "Signals": [[18, "signals"]], "Sphinx Documentation (Optional)": [[6, "sphinx-documentation-optional"]], "Static Type Checking Report": [[51, null]], "Subprogram Declarations": [[20, null]], "Subtype Declarations": [[21, null]], "TODOs": [[25, null]], "Table of Content": [[12, "table-of-content"], [13, "table-of-content"], [14, "table-of-content"], [15, "table-of-content"], [16, "table-of-content"], [17, "table-of-content"], [18, "table-of-content"], [19, "table-of-content"], [20, "table-of-content"], [22, "table-of-content"]], "Ternary Expressions": [[15, "ternary-expressions"]], "The pyVHDLModel Documentation": [[27, null]], "Todo": [[9, "id1"], [9, "id2"], [12, "id1"], [12, "id2"], [12, "id3"], [12, "id4"], [12, "id5"], [12, "id6"], [12, "id7"], [12, "id8"], [12, "id9"], [13, "id1"], [13, "id2"], [13, "id3"], [13, "id4"], [13, "id5"], [15, "id1"], [15, "id2"], [15, "id3"], [15, "id4"], [15, "id5"], [15, "id6"], [15, "id7"], [15, "id8"], [15, "id9"], [15, "id10"], [15, "id11"], [15, "id12"], [15, "id13"], [16, "id1"], [16, "id2"], [16, "id3"], [16, "id4"], [16, "id5"], [16, "id6"], [16, "id7"], [16, "id8"], [16, "id9"], [16, "id10"], [18, "id1"], [18, "id2"], [19, "id1"], [19, "id2"], [19, "id3"], [19, "id4"], [19, "id5"], [19, "id6"], [19, "id7"], [19, "id8"], [19, "id9"], [19, "id10"], [19, "id11"], [19, "id12"], [19, "id13"], [19, "id14"], [20, "id1"], [20, "id2"], [21, "id1"], [22, "id1"], [22, "id2"], [22, "id3"], [22, "id4"], [22, "id5"], [22, "id6"], [22, "id7"], [22, "id8"], [22, "id9"], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [25, null], [28, "id1"], [28, "id2"], [28, "id3"], [32, "id1"], [42, "id1"], [42, "id2"]], "Type Declarations": [[22, null]], "Unary Expressions": [[15, "unary-expressions"]], "Uninstallation using PIP": [[11, "uninstallation-using-pip"]], "Unit Testing / Coverage / Type Checking (Optional)": [[6, "unit-testing-coverage-type-checking-optional"]], "Unittest Summary Report": [[52, null]], "Upcoming Release": [[1, "upcoming-release"]], "Updating from PyPI using PIP": [[11, "updating-from-pypi-using-pip"]], "Use Cases": [[27, "use-cases"]], "Using Creative Commons Public Licenses": [[7, null]], "Using PIP to Install from PyPI": [[11, "using-pip-to-install-from-pypi"]], "Using libghdl with Python": [[9, "using-libghdl-with-python"]], "Using setup.py (legacy)": [[11, "using-setup-py-legacy"]], "VHDL Language Model": [[23, null]], "Variable": [[18, "variable"]], "Variable Assignment": [[19, "variable-assignment"]], "Variable as Parameter": [[18, "variable-as-parameter"]], "Variables": [[18, "variables"]], "Wait Statement": [[19, "wait-statement"]], "While Loop": [[19, "while-loop"]], "pyVHDLModel": [[28, null]], "pyVHDLModel Package": [[6, "pyvhdlmodel-package"]], "pyVHDLModel.Association": [[29, null]], "pyVHDLModel.Base": [[30, null]], "pyVHDLModel.Common": [[31, null]], "pyVHDLModel.Concurrent": [[32, null]], "pyVHDLModel.Declaration": [[33, null]], "pyVHDLModel.DesignUnit": [[34, null]], "pyVHDLModel.Exception": [[35, null]], "pyVHDLModel.Expression": [[36, null]], "pyVHDLModel.IEEE": [[37, null]], "pyVHDLModel.Instantiation": [[38, null]], "pyVHDLModel.Interface": [[39, null]], "pyVHDLModel.Name": [[40, null]], "pyVHDLModel.Namespace": [[41, null]], "pyVHDLModel.Object": [[42, null]], "pyVHDLModel.PSLModel": [[43, null]], "pyVHDLModel.Predefined": [[44, null]], "pyVHDLModel.Regions": [[45, null]], "pyVHDLModel.STD": [[46, null]], "pyVHDLModel.Sequential": [[47, null]], "pyVHDLModel.Subprogram": [[48, null]], "pyVHDLModel.Symbol": [[49, null]], "pyVHDLModel.Type": [[50, null]], "pyVHDLParser": [[9, "pyvhdlparser"]]}, "docnames": ["Analyze/index", "ChangeLog/index", "DataStructure/CompileOrderGraph", "DataStructure/DependencyGraph", "DataStructure/HierarchyGraph", "DataStructure/index", "Dependency", "Doc-License", "DocCoverage", "GettingStarted", "Glossary", "Installation", "LanguageModel/ConcurrentStatements", "LanguageModel/DesignUnits", "LanguageModel/Enumerations", "LanguageModel/Expressions", "LanguageModel/InterfaceItems", "LanguageModel/Miscellaneous", "LanguageModel/ObjectDeclarations", "LanguageModel/SequentialStatements", "LanguageModel/SubprogramDefinitions", "LanguageModel/SubtypeDefinitions", "LanguageModel/TypeDefinitions", "LanguageModel/index", "License", "TODO", "coverage/index", "index", "pyVHDLModel/pyVHDLModel", "pyVHDLModel/pyVHDLModel.Association", "pyVHDLModel/pyVHDLModel.Base", "pyVHDLModel/pyVHDLModel.Common", "pyVHDLModel/pyVHDLModel.Concurrent", "pyVHDLModel/pyVHDLModel.Declaration", "pyVHDLModel/pyVHDLModel.DesignUnit", "pyVHDLModel/pyVHDLModel.Exception", "pyVHDLModel/pyVHDLModel.Expression", "pyVHDLModel/pyVHDLModel.IEEE", "pyVHDLModel/pyVHDLModel.Instantiation", "pyVHDLModel/pyVHDLModel.Interface", "pyVHDLModel/pyVHDLModel.Name", "pyVHDLModel/pyVHDLModel.Namespace", "pyVHDLModel/pyVHDLModel.Object", "pyVHDLModel/pyVHDLModel.PSLModel", "pyVHDLModel/pyVHDLModel.Predefined", "pyVHDLModel/pyVHDLModel.Regions", "pyVHDLModel/pyVHDLModel.STD", "pyVHDLModel/pyVHDLModel.Sequential", "pyVHDLModel/pyVHDLModel.Subprogram", "pyVHDLModel/pyVHDLModel.Symbol", "pyVHDLModel/pyVHDLModel.Type", "typing/index", "unittests/index"], "envversion": {"sphinx": 62, "sphinx.domains.c": 3, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 9, "sphinx.domains.index": 1, "sphinx.domains.javascript": 3, "sphinx.domains.math": 2, "sphinx.domains.python": 4, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx.ext.intersphinx": 1, "sphinx.ext.todo": 2, "sphinx.ext.viewcode": 1}, "filenames": ["Analyze/index.rst", "ChangeLog/index.rst", "DataStructure/CompileOrderGraph.rst", "DataStructure/DependencyGraph.rst", "DataStructure/HierarchyGraph.rst", "DataStructure/index.rst", "Dependency.rst", "Doc-License.rst", "DocCoverage.rst", "GettingStarted.rst", "Glossary.rst", "Installation.rst", "LanguageModel/ConcurrentStatements.rst", "LanguageModel/DesignUnits.rst", "LanguageModel/Enumerations.rst", "LanguageModel/Expressions.rst", "LanguageModel/InterfaceItems.rst", "LanguageModel/Miscellaneous.rst", "LanguageModel/ObjectDeclarations.rst", "LanguageModel/SequentialStatements.rst", "LanguageModel/SubprogramDefinitions.rst", "LanguageModel/SubtypeDefinitions.rst", "LanguageModel/TypeDefinitions.rst", "LanguageModel/index.rst", "License.rst", "TODO.rst", "coverage/index.rst", "index.rst", "pyVHDLModel/pyVHDLModel.rst", "pyVHDLModel/pyVHDLModel.Association.rst", "pyVHDLModel/pyVHDLModel.Base.rst", "pyVHDLModel/pyVHDLModel.Common.rst", "pyVHDLModel/pyVHDLModel.Concurrent.rst", "pyVHDLModel/pyVHDLModel.Declaration.rst", "pyVHDLModel/pyVHDLModel.DesignUnit.rst", "pyVHDLModel/pyVHDLModel.Exception.rst", "pyVHDLModel/pyVHDLModel.Expression.rst", "pyVHDLModel/pyVHDLModel.IEEE.rst", "pyVHDLModel/pyVHDLModel.Instantiation.rst", "pyVHDLModel/pyVHDLModel.Interface.rst", "pyVHDLModel/pyVHDLModel.Name.rst", "pyVHDLModel/pyVHDLModel.Namespace.rst", "pyVHDLModel/pyVHDLModel.Object.rst", "pyVHDLModel/pyVHDLModel.PSLModel.rst", "pyVHDLModel/pyVHDLModel.Predefined.rst", "pyVHDLModel/pyVHDLModel.Regions.rst", "pyVHDLModel/pyVHDLModel.STD.rst", "pyVHDLModel/pyVHDLModel.Sequential.rst", "pyVHDLModel/pyVHDLModel.Subprogram.rst", "pyVHDLModel/pyVHDLModel.Symbol.rst", "pyVHDLModel/pyVHDLModel.Type.rst", "typing/index.rst", "unittests/index.rst"], "indexentries": {"__bool__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__bool__", false]], "__bool__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__bool__", false]], "__bool__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__bool__", false]], "__bool__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__bool__", false]], "__bool__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__bool__", false]], "__bool__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__bool__", false]], "__contains__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__contains__", false]], "__contains__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__contains__", false]], "__contains__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__contains__", false]], "__contains__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__contains__", false]], "__contains__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__contains__", false]], "__contains__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__contains__", false]], "__eq__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__eq__", false]], "__ge__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__ge__", false]], "__gt__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__gt__", false]], "__hash__ (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.__hash__", false]], "__init__() (pyvhdlmodel.association.associationitem method)": [[29, "pyVHDLModel.Association.AssociationItem.__init__", false]], "__init__() (pyvhdlmodel.association.genericassociationitem method)": [[29, "pyVHDLModel.Association.GenericAssociationItem.__init__", false]], "__init__() (pyvhdlmodel.association.parameterassociationitem method)": [[29, "pyVHDLModel.Association.ParameterAssociationItem.__init__", false]], "__init__() (pyvhdlmodel.association.portassociationitem method)": [[29, "pyVHDLModel.Association.PortAssociationItem.__init__", false]], "__init__() (pyvhdlmodel.base.assertstatementmixin method)": [[30, "pyVHDLModel.Base.AssertStatementMixin.__init__", false]], "__init__() (pyvhdlmodel.base.basecase method)": [[30, "pyVHDLModel.Base.BaseCase.__init__", false]], "__init__() (pyvhdlmodel.base.basechoice method)": [[30, "pyVHDLModel.Base.BaseChoice.__init__", false]], "__init__() (pyvhdlmodel.base.branchmixin method)": [[30, "pyVHDLModel.Base.BranchMixin.__init__", false]], "__init__() (pyvhdlmodel.base.conditionalbranchmixin method)": [[30, "pyVHDLModel.Base.ConditionalBranchMixin.__init__", false]], "__init__() (pyvhdlmodel.base.conditionalmixin method)": [[30, "pyVHDLModel.Base.ConditionalMixin.__init__", false]], "__init__() (pyvhdlmodel.base.documentedentitymixin method)": [[30, "pyVHDLModel.Base.DocumentedEntityMixin.__init__", false]], "__init__() (pyvhdlmodel.base.elsebranchmixin method)": [[30, "pyVHDLModel.Base.ElseBranchMixin.__init__", false]], "__init__() (pyvhdlmodel.base.elsifbranchmixin method)": [[30, "pyVHDLModel.Base.ElsifBranchMixin.__init__", false]], "__init__() (pyvhdlmodel.base.ifbranchmixin method)": [[30, "pyVHDLModel.Base.IfBranchMixin.__init__", false]], "__init__() (pyvhdlmodel.base.labeledentitymixin method)": [[30, "pyVHDLModel.Base.LabeledEntityMixin.__init__", false]], "__init__() (pyvhdlmodel.base.modelentity method)": [[30, "pyVHDLModel.Base.ModelEntity.__init__", false]], "__init__() (pyvhdlmodel.base.multiplenamedentitymixin method)": [[30, "pyVHDLModel.Base.MultipleNamedEntityMixin.__init__", false]], "__init__() (pyvhdlmodel.base.namedentitymixin method)": [[30, "pyVHDLModel.Base.NamedEntityMixin.__init__", false]], "__init__() (pyvhdlmodel.base.range method)": [[30, "pyVHDLModel.Base.Range.__init__", false]], "__init__() (pyvhdlmodel.base.reportstatementmixin method)": [[30, "pyVHDLModel.Base.ReportStatementMixin.__init__", false]], "__init__() (pyvhdlmodel.base.waveformelement method)": [[30, "pyVHDLModel.Base.WaveformElement.__init__", false]], "__init__() (pyvhdlmodel.common.assignmentmixin method)": [[31, "pyVHDLModel.Common.AssignmentMixin.__init__", false]], "__init__() (pyvhdlmodel.common.procedurecallmixin method)": [[31, "pyVHDLModel.Common.ProcedureCallMixin.__init__", false]], "__init__() (pyvhdlmodel.common.signalassignmentmixin method)": [[31, "pyVHDLModel.Common.SignalAssignmentMixin.__init__", false]], "__init__() (pyvhdlmodel.common.statement method)": [[31, "pyVHDLModel.Common.Statement.__init__", false]], "__init__() (pyvhdlmodel.common.variableassignmentmixin method)": [[31, "pyVHDLModel.Common.VariableAssignmentMixin.__init__", false]], "__init__() (pyvhdlmodel.concurrent.casegeneratestatement method)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement.__init__", false]], "__init__() (pyvhdlmodel.concurrent.componentinstantiation method)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentassertstatement method)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentblockstatement method)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentcase method)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentchoice method)": [[32, "pyVHDLModel.Concurrent.ConcurrentChoice.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment method)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentprocedurecall method)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentselectedsignalassignment method)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentsignalassignment method)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentsimplesignalassignment method)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentstatement method)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement.__init__", false]], "__init__() (pyvhdlmodel.concurrent.concurrentstatementsmixin method)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatementsMixin.__init__", false]], "__init__() (pyvhdlmodel.concurrent.configurationinstantiation method)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation.__init__", false]], "__init__() (pyvhdlmodel.concurrent.elsegeneratebranch method)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch.__init__", false]], "__init__() (pyvhdlmodel.concurrent.elsifgeneratebranch method)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch.__init__", false]], "__init__() (pyvhdlmodel.concurrent.entityinstantiation method)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation.__init__", false]], "__init__() (pyvhdlmodel.concurrent.forgeneratestatement method)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement.__init__", false]], "__init__() (pyvhdlmodel.concurrent.generatebranch method)": [[32, "pyVHDLModel.Concurrent.GenerateBranch.__init__", false]], "__init__() (pyvhdlmodel.concurrent.generatecase method)": [[32, "pyVHDLModel.Concurrent.GenerateCase.__init__", false]], "__init__() (pyvhdlmodel.concurrent.generatestatement method)": [[32, "pyVHDLModel.Concurrent.GenerateStatement.__init__", false]], "__init__() (pyvhdlmodel.concurrent.ifgeneratebranch method)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch.__init__", false]], "__init__() (pyvhdlmodel.concurrent.ifgeneratestatement method)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement.__init__", false]], "__init__() (pyvhdlmodel.concurrent.indexedgeneratechoice method)": [[32, "pyVHDLModel.Concurrent.IndexedGenerateChoice.__init__", false]], "__init__() (pyvhdlmodel.concurrent.instantiation method)": [[32, "pyVHDLModel.Concurrent.Instantiation.__init__", false]], "__init__() (pyvhdlmodel.concurrent.othersgeneratecase method)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase.__init__", false]], "__init__() (pyvhdlmodel.concurrent.processstatement method)": [[32, "pyVHDLModel.Concurrent.ProcessStatement.__init__", false]], "__init__() (pyvhdlmodel.concurrent.rangedgeneratechoice method)": [[32, "pyVHDLModel.Concurrent.RangedGenerateChoice.__init__", false]], "__init__() (pyvhdlmodel.declaration.alias method)": [[33, "pyVHDLModel.Declaration.Alias.__init__", false]], "__init__() (pyvhdlmodel.declaration.attribute method)": [[33, "pyVHDLModel.Declaration.Attribute.__init__", false]], "__init__() (pyvhdlmodel.declaration.attributespecification method)": [[33, "pyVHDLModel.Declaration.AttributeSpecification.__init__", false]], "__init__() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.__init__", false]], "__init__() (pyvhdlmodel.designunit.architecture method)": [[34, "pyVHDLModel.DesignUnit.Architecture.__init__", false]], "__init__() (pyvhdlmodel.designunit.component method)": [[34, "pyVHDLModel.DesignUnit.Component.__init__", false]], "__init__() (pyvhdlmodel.designunit.configuration method)": [[34, "pyVHDLModel.DesignUnit.Configuration.__init__", false]], "__init__() (pyvhdlmodel.designunit.context method)": [[34, "pyVHDLModel.DesignUnit.Context.__init__", false]], "__init__() (pyvhdlmodel.designunit.contextreference method)": [[34, "pyVHDLModel.DesignUnit.ContextReference.__init__", false]], "__init__() (pyvhdlmodel.designunit.designunit method)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.__init__", false]], "__init__() (pyvhdlmodel.designunit.entity method)": [[34, "pyVHDLModel.DesignUnit.Entity.__init__", false]], "__init__() (pyvhdlmodel.designunit.libraryclause method)": [[34, "pyVHDLModel.DesignUnit.LibraryClause.__init__", false]], "__init__() (pyvhdlmodel.designunit.package method)": [[34, "pyVHDLModel.DesignUnit.Package.__init__", false]], "__init__() (pyvhdlmodel.designunit.packagebody method)": [[34, "pyVHDLModel.DesignUnit.PackageBody.__init__", false]], "__init__() (pyvhdlmodel.designunit.primaryunit method)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.__init__", false]], "__init__() (pyvhdlmodel.designunit.reference method)": [[34, "pyVHDLModel.DesignUnit.Reference.__init__", false]], "__init__() (pyvhdlmodel.designunit.secondaryunit method)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.__init__", false]], "__init__() (pyvhdlmodel.designunit.useclause method)": [[34, "pyVHDLModel.DesignUnit.UseClause.__init__", false]], "__init__() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document.__init__", false]], "__init__() (pyvhdlmodel.expression.absoluteexpression method)": [[36, "pyVHDLModel.Expression.AbsoluteExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.addingexpression method)": [[36, "pyVHDLModel.Expression.AddingExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.additionexpression method)": [[36, "pyVHDLModel.Expression.AdditionExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.aggregate method)": [[36, "pyVHDLModel.Expression.Aggregate.__init__", false]], "__init__() (pyvhdlmodel.expression.aggregateelement method)": [[36, "pyVHDLModel.Expression.AggregateElement.__init__", false]], "__init__() (pyvhdlmodel.expression.allocation method)": [[36, "pyVHDLModel.Expression.Allocation.__init__", false]], "__init__() (pyvhdlmodel.expression.andexpression method)": [[36, "pyVHDLModel.Expression.AndExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.ascendingrangeexpression method)": [[36, "pyVHDLModel.Expression.AscendingRangeExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.baseexpression method)": [[36, "pyVHDLModel.Expression.BaseExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.binaryexpression method)": [[36, "pyVHDLModel.Expression.BinaryExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.bitstringliteral method)": [[36, "pyVHDLModel.Expression.BitStringLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.characterliteral method)": [[36, "pyVHDLModel.Expression.CharacterLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.concatenationexpression method)": [[36, "pyVHDLModel.Expression.ConcatenationExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.descendingrangeexpression method)": [[36, "pyVHDLModel.Expression.DescendingRangeExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.divisionexpression method)": [[36, "pyVHDLModel.Expression.DivisionExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.enumerationliteral method)": [[36, "pyVHDLModel.Expression.EnumerationLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.equalexpression method)": [[36, "pyVHDLModel.Expression.EqualExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.exponentiationexpression method)": [[36, "pyVHDLModel.Expression.ExponentiationExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.floatingpointliteral method)": [[36, "pyVHDLModel.Expression.FloatingPointLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.functioncall method)": [[36, "pyVHDLModel.Expression.FunctionCall.__init__", false]], "__init__() (pyvhdlmodel.expression.greaterequalexpression method)": [[36, "pyVHDLModel.Expression.GreaterEqualExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.greaterthanexpression method)": [[36, "pyVHDLModel.Expression.GreaterThanExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.identityexpression method)": [[36, "pyVHDLModel.Expression.IdentityExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.indexedaggregateelement method)": [[36, "pyVHDLModel.Expression.IndexedAggregateElement.__init__", false]], "__init__() (pyvhdlmodel.expression.integerliteral method)": [[36, "pyVHDLModel.Expression.IntegerLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.inverseexpression method)": [[36, "pyVHDLModel.Expression.InverseExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.lessequalexpression method)": [[36, "pyVHDLModel.Expression.LessEqualExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.lessthanexpression method)": [[36, "pyVHDLModel.Expression.LessThanExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.literal method)": [[36, "pyVHDLModel.Expression.Literal.__init__", false]], "__init__() (pyvhdlmodel.expression.logicalexpression method)": [[36, "pyVHDLModel.Expression.LogicalExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.matchingequalexpression method)": [[36, "pyVHDLModel.Expression.MatchingEqualExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.matchinggreaterequalexpression method)": [[36, "pyVHDLModel.Expression.MatchingGreaterEqualExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.matchinggreaterthanexpression method)": [[36, "pyVHDLModel.Expression.MatchingGreaterThanExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.matchinglessequalexpression method)": [[36, "pyVHDLModel.Expression.MatchingLessEqualExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.matchinglessthanexpression method)": [[36, "pyVHDLModel.Expression.MatchingLessThanExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.matchingrelationalexpression method)": [[36, "pyVHDLModel.Expression.MatchingRelationalExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.matchingunequalexpression method)": [[36, "pyVHDLModel.Expression.MatchingUnequalExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.moduloexpression method)": [[36, "pyVHDLModel.Expression.ModuloExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.multiplyexpression method)": [[36, "pyVHDLModel.Expression.MultiplyExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.multiplyingexpression method)": [[36, "pyVHDLModel.Expression.MultiplyingExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.namedaggregateelement method)": [[36, "pyVHDLModel.Expression.NamedAggregateElement.__init__", false]], "__init__() (pyvhdlmodel.expression.nandexpression method)": [[36, "pyVHDLModel.Expression.NandExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.negationexpression method)": [[36, "pyVHDLModel.Expression.NegationExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.norexpression method)": [[36, "pyVHDLModel.Expression.NorExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.nullliteral method)": [[36, "pyVHDLModel.Expression.NullLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.numericliteral method)": [[36, "pyVHDLModel.Expression.NumericLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.orexpression method)": [[36, "pyVHDLModel.Expression.OrExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.othersaggregateelement method)": [[36, "pyVHDLModel.Expression.OthersAggregateElement.__init__", false]], "__init__() (pyvhdlmodel.expression.physicalfloatingliteral method)": [[36, "pyVHDLModel.Expression.PhysicalFloatingLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.physicalintegerliteral method)": [[36, "pyVHDLModel.Expression.PhysicalIntegerLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.physicalliteral method)": [[36, "pyVHDLModel.Expression.PhysicalLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.qualifiedexpression method)": [[36, "pyVHDLModel.Expression.QualifiedExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.qualifiedexpressionallocation method)": [[36, "pyVHDLModel.Expression.QualifiedExpressionAllocation.__init__", false]], "__init__() (pyvhdlmodel.expression.rangedaggregateelement method)": [[36, "pyVHDLModel.Expression.RangedAggregateElement.__init__", false]], "__init__() (pyvhdlmodel.expression.rangeexpression method)": [[36, "pyVHDLModel.Expression.RangeExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.relationalexpression method)": [[36, "pyVHDLModel.Expression.RelationalExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.remainderexpression method)": [[36, "pyVHDLModel.Expression.RemainderExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.rotateexpression method)": [[36, "pyVHDLModel.Expression.RotateExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.rotateleftexpression method)": [[36, "pyVHDLModel.Expression.RotateLeftExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.rotaterightexpression method)": [[36, "pyVHDLModel.Expression.RotateRightExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.shiftarithmeticexpression method)": [[36, "pyVHDLModel.Expression.ShiftArithmeticExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.shiftexpression method)": [[36, "pyVHDLModel.Expression.ShiftExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.shiftleftarithmeticexpression method)": [[36, "pyVHDLModel.Expression.ShiftLeftArithmeticExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.shiftleftlogicexpression method)": [[36, "pyVHDLModel.Expression.ShiftLeftLogicExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.shiftlogicexpression method)": [[36, "pyVHDLModel.Expression.ShiftLogicExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.shiftrightarithmeticexpression method)": [[36, "pyVHDLModel.Expression.ShiftRightArithmeticExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.shiftrightlogicexpression method)": [[36, "pyVHDLModel.Expression.ShiftRightLogicExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.simpleaggregateelement method)": [[36, "pyVHDLModel.Expression.SimpleAggregateElement.__init__", false]], "__init__() (pyvhdlmodel.expression.stringliteral method)": [[36, "pyVHDLModel.Expression.StringLiteral.__init__", false]], "__init__() (pyvhdlmodel.expression.subexpression method)": [[36, "pyVHDLModel.Expression.SubExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.subtractionexpression method)": [[36, "pyVHDLModel.Expression.SubtractionExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.subtypeallocation method)": [[36, "pyVHDLModel.Expression.SubtypeAllocation.__init__", false]], "__init__() (pyvhdlmodel.expression.ternaryexpression method)": [[36, "pyVHDLModel.Expression.TernaryExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.typeconversion method)": [[36, "pyVHDLModel.Expression.TypeConversion.__init__", false]], "__init__() (pyvhdlmodel.expression.unaryandexpression method)": [[36, "pyVHDLModel.Expression.UnaryAndExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.unaryexpression method)": [[36, "pyVHDLModel.Expression.UnaryExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.unarynandexpression method)": [[36, "pyVHDLModel.Expression.UnaryNandExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.unarynorexpression method)": [[36, "pyVHDLModel.Expression.UnaryNorExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.unaryorexpression method)": [[36, "pyVHDLModel.Expression.UnaryOrExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.unaryxnorexpression method)": [[36, "pyVHDLModel.Expression.UnaryXnorExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.unaryxorexpression method)": [[36, "pyVHDLModel.Expression.UnaryXorExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.unequalexpression method)": [[36, "pyVHDLModel.Expression.UnequalExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.whenelseexpression method)": [[36, "pyVHDLModel.Expression.WhenElseExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.xnorexpression method)": [[36, "pyVHDLModel.Expression.XnorExpression.__init__", false]], "__init__() (pyvhdlmodel.expression.xorexpression method)": [[36, "pyVHDLModel.Expression.XorExpression.__init__", false]], "__init__() (pyvhdlmodel.ieee.fixed_float_types method)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.__init__", false]], "__init__() (pyvhdlmodel.ieee.fixed_generic_pkg method)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.__init__", false]], "__init__() (pyvhdlmodel.ieee.fixed_generic_pkg_body method)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.fixed_pkg method)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.__init__", false]], "__init__() (pyvhdlmodel.ieee.float_generic_pkg method)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.__init__", false]], "__init__() (pyvhdlmodel.ieee.float_generic_pkg_body method)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.float_pkg method)": [[37, "pyVHDLModel.IEEE.Float_Pkg.__init__", false]], "__init__() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.__init__", false]], "__init__() (pyvhdlmodel.ieee.math_complex method)": [[37, "pyVHDLModel.IEEE.Math_Complex.__init__", false]], "__init__() (pyvhdlmodel.ieee.math_complex_body method)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.math_real method)": [[37, "pyVHDLModel.IEEE.Math_Real.__init__", false]], "__init__() (pyvhdlmodel.ieee.math_real_body method)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.numeric_bit method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.__init__", false]], "__init__() (pyvhdlmodel.ieee.numeric_bit_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.numeric_bit_unsigned method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.__init__", false]], "__init__() (pyvhdlmodel.ieee.numeric_bit_unsigned_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.numeric_std method)": [[37, "pyVHDLModel.IEEE.Numeric_Std.__init__", false]], "__init__() (pyvhdlmodel.ieee.numeric_std_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.numeric_std_unsigned method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.__init__", false]], "__init__() (pyvhdlmodel.ieee.numeric_std_unsigned_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.std_logic_1164 method)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.__init__", false]], "__init__() (pyvhdlmodel.ieee.std_logic_1164_body method)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.std_logic_misc method)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.__init__", false]], "__init__() (pyvhdlmodel.ieee.std_logic_misc_body method)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.__init__", false]], "__init__() (pyvhdlmodel.ieee.std_logic_textio method)": [[37, "pyVHDLModel.IEEE.std_logic_textio.__init__", false]], "__init__() (pyvhdlmodel.instantiation.functioninstantiation method)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation.__init__", false]], "__init__() (pyvhdlmodel.instantiation.genericentityinstantiationmixin method)": [[38, "pyVHDLModel.Instantiation.GenericEntityInstantiationMixin.__init__", false]], "__init__() (pyvhdlmodel.instantiation.genericinstantiationmixin method)": [[38, "pyVHDLModel.Instantiation.GenericInstantiationMixin.__init__", false]], "__init__() (pyvhdlmodel.instantiation.packageinstantiation method)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.__init__", false]], "__init__() (pyvhdlmodel.instantiation.procedureinstantiation method)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation.__init__", false]], "__init__() (pyvhdlmodel.instantiation.subprograminstantiationmixin method)": [[38, "pyVHDLModel.Instantiation.SubprogramInstantiationMixin.__init__", false]], "__init__() (pyvhdlmodel.interface.genericconstantinterfaceitem method)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.genericfunctioninterfaceitem method)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.genericinterfaceitemmixin method)": [[39, "pyVHDLModel.Interface.GenericInterfaceItemMixin.__init__", false]], "__init__() (pyvhdlmodel.interface.genericpackageinterfaceitem method)": [[39, "pyVHDLModel.Interface.GenericPackageInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.genericprocedureinterfaceitem method)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.genericsubprograminterfaceitem method)": [[39, "pyVHDLModel.Interface.GenericSubprogramInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.generictypeinterfaceitem method)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.interfaceitemmixin method)": [[39, "pyVHDLModel.Interface.InterfaceItemMixin.__init__", false]], "__init__() (pyvhdlmodel.interface.interfaceitemwithmodemixin method)": [[39, "pyVHDLModel.Interface.InterfaceItemWithModeMixin.__init__", false]], "__init__() (pyvhdlmodel.interface.parameterconstantinterfaceitem method)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.parameterfileinterfaceitem method)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.parameterinterfaceitemmixin method)": [[39, "pyVHDLModel.Interface.ParameterInterfaceItemMixin.__init__", false]], "__init__() (pyvhdlmodel.interface.parametersignalinterfaceitem method)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.parametervariableinterfaceitem method)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.interface.portinterfaceitemmixin method)": [[39, "pyVHDLModel.Interface.PortInterfaceItemMixin.__init__", false]], "__init__() (pyvhdlmodel.interface.portsignalinterfaceitem method)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem.__init__", false]], "__init__() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.__init__", false]], "__init__() (pyvhdlmodel.name.allname method)": [[40, "pyVHDLModel.Name.AllName.__init__", false]], "__init__() (pyvhdlmodel.name.attributename method)": [[40, "pyVHDLModel.Name.AttributeName.__init__", false]], "__init__() (pyvhdlmodel.name.indexedname method)": [[40, "pyVHDLModel.Name.IndexedName.__init__", false]], "__init__() (pyvhdlmodel.name.name method)": [[40, "pyVHDLModel.Name.Name.__init__", false]], "__init__() (pyvhdlmodel.name.openname method)": [[40, "pyVHDLModel.Name.OpenName.__init__", false]], "__init__() (pyvhdlmodel.name.parenthesisname method)": [[40, "pyVHDLModel.Name.ParenthesisName.__init__", false]], "__init__() (pyvhdlmodel.name.selectedname method)": [[40, "pyVHDLModel.Name.SelectedName.__init__", false]], "__init__() (pyvhdlmodel.name.simplename method)": [[40, "pyVHDLModel.Name.SimpleName.__init__", false]], "__init__() (pyvhdlmodel.name.slicedname method)": [[40, "pyVHDLModel.Name.SlicedName.__init__", false]], "__init__() (pyvhdlmodel.object.baseconstant method)": [[42, "pyVHDLModel.Object.BaseConstant.__init__", false]], "__init__() (pyvhdlmodel.object.constant method)": [[42, "pyVHDLModel.Object.Constant.__init__", false]], "__init__() (pyvhdlmodel.object.deferredconstant method)": [[42, "pyVHDLModel.Object.DeferredConstant.__init__", false]], "__init__() (pyvhdlmodel.object.file method)": [[42, "pyVHDLModel.Object.File.__init__", false]], "__init__() (pyvhdlmodel.object.obj method)": [[42, "pyVHDLModel.Object.Obj.__init__", false]], "__init__() (pyvhdlmodel.object.sharedvariable method)": [[42, "pyVHDLModel.Object.SharedVariable.__init__", false]], "__init__() (pyvhdlmodel.object.signal method)": [[42, "pyVHDLModel.Object.Signal.__init__", false]], "__init__() (pyvhdlmodel.object.variable method)": [[42, "pyVHDLModel.Object.Variable.__init__", false]], "__init__() (pyvhdlmodel.object.withdefaultexpressionmixin method)": [[42, "pyVHDLModel.Object.WithDefaultExpressionMixin.__init__", false]], "__init__() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.__init__", false]], "__init__() (pyvhdlmodel.predefined.predefinedpackage method)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.__init__", false]], "__init__() (pyvhdlmodel.predefined.predefinedpackagebody method)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.__init__", false]], "__init__() (pyvhdlmodel.pslmodel.defaultclock method)": [[43, "pyVHDLModel.PSLModel.DefaultClock.__init__", false]], "__init__() (pyvhdlmodel.pslmodel.pslentity method)": [[43, "pyVHDLModel.PSLModel.PSLEntity.__init__", false]], "__init__() (pyvhdlmodel.pslmodel.pslprimaryunit method)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.__init__", false]], "__init__() (pyvhdlmodel.pslmodel.verificationmode method)": [[43, "pyVHDLModel.PSLModel.VerificationMode.__init__", false]], "__init__() (pyvhdlmodel.pslmodel.verificationproperty method)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.__init__", false]], "__init__() (pyvhdlmodel.pslmodel.verificationunit method)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.__init__", false]], "__init__() (pyvhdlmodel.regions.concurrentdeclarationregionmixin method)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin.__init__", false]], "__init__() (pyvhdlmodel.sequential.branch method)": [[47, "pyVHDLModel.Sequential.Branch.__init__", false]], "__init__() (pyvhdlmodel.sequential.case method)": [[47, "pyVHDLModel.Sequential.Case.__init__", false]], "__init__() (pyvhdlmodel.sequential.casestatement method)": [[47, "pyVHDLModel.Sequential.CaseStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.compoundstatement method)": [[47, "pyVHDLModel.Sequential.CompoundStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.elsebranch method)": [[47, "pyVHDLModel.Sequential.ElseBranch.__init__", false]], "__init__() (pyvhdlmodel.sequential.elsifbranch method)": [[47, "pyVHDLModel.Sequential.ElsifBranch.__init__", false]], "__init__() (pyvhdlmodel.sequential.endlessloopstatement method)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.exitstatement method)": [[47, "pyVHDLModel.Sequential.ExitStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.forloopstatement method)": [[47, "pyVHDLModel.Sequential.ForLoopStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.ifbranch method)": [[47, "pyVHDLModel.Sequential.IfBranch.__init__", false]], "__init__() (pyvhdlmodel.sequential.ifstatement method)": [[47, "pyVHDLModel.Sequential.IfStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.indexedchoice method)": [[47, "pyVHDLModel.Sequential.IndexedChoice.__init__", false]], "__init__() (pyvhdlmodel.sequential.loopcontrolstatement method)": [[47, "pyVHDLModel.Sequential.LoopControlStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.loopstatement method)": [[47, "pyVHDLModel.Sequential.LoopStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.nextstatement method)": [[47, "pyVHDLModel.Sequential.NextStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.nullstatement method)": [[47, "pyVHDLModel.Sequential.NullStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.otherscase method)": [[47, "pyVHDLModel.Sequential.OthersCase.__init__", false]], "__init__() (pyvhdlmodel.sequential.rangedchoice method)": [[47, "pyVHDLModel.Sequential.RangedChoice.__init__", false]], "__init__() (pyvhdlmodel.sequential.returnstatement method)": [[47, "pyVHDLModel.Sequential.ReturnStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialassertstatement method)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialcase method)": [[47, "pyVHDLModel.Sequential.SequentialCase.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialchoice method)": [[47, "pyVHDLModel.Sequential.SequentialChoice.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialdeclarationsmixin method)": [[47, "pyVHDLModel.Sequential.SequentialDeclarationsMixin.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialprocedurecall method)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialreportstatement method)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialsignalassignment method)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialsimplesignalassignment method)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialstatement method)": [[47, "pyVHDLModel.Sequential.SequentialStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialstatementsmixin method)": [[47, "pyVHDLModel.Sequential.SequentialStatementsMixin.__init__", false]], "__init__() (pyvhdlmodel.sequential.sequentialvariableassignment method)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment.__init__", false]], "__init__() (pyvhdlmodel.sequential.waitstatement method)": [[47, "pyVHDLModel.Sequential.WaitStatement.__init__", false]], "__init__() (pyvhdlmodel.sequential.whileloopstatement method)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement.__init__", false]], "__init__() (pyvhdlmodel.std.env method)": [[46, "pyVHDLModel.STD.Env.__init__", false]], "__init__() (pyvhdlmodel.std.env_body method)": [[46, "pyVHDLModel.STD.Env_Body.__init__", false]], "__init__() (pyvhdlmodel.std.standard method)": [[46, "pyVHDLModel.STD.Standard.__init__", false]], "__init__() (pyvhdlmodel.std.standard_body method)": [[46, "pyVHDLModel.STD.Standard_Body.__init__", false]], "__init__() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.__init__", false]], "__init__() (pyvhdlmodel.std.textio method)": [[46, "pyVHDLModel.STD.TextIO.__init__", false]], "__init__() (pyvhdlmodel.std.textio_body method)": [[46, "pyVHDLModel.STD.TextIO_Body.__init__", false]], "__init__() (pyvhdlmodel.subprogram.function method)": [[48, "pyVHDLModel.Subprogram.Function.__init__", false]], "__init__() (pyvhdlmodel.subprogram.functionmethod method)": [[48, "pyVHDLModel.Subprogram.FunctionMethod.__init__", false]], "__init__() (pyvhdlmodel.subprogram.methodmixin method)": [[48, "pyVHDLModel.Subprogram.MethodMixin.__init__", false]], "__init__() (pyvhdlmodel.subprogram.procedure method)": [[48, "pyVHDLModel.Subprogram.Procedure.__init__", false]], "__init__() (pyvhdlmodel.subprogram.proceduremethod method)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod.__init__", false]], "__init__() (pyvhdlmodel.subprogram.subprogram method)": [[48, "pyVHDLModel.Subprogram.Subprogram.__init__", false]], "__init__() (pyvhdlmodel.symbol.allpackagemembersreferencesymbol method)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.architecturesymbol method)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.componentinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.configurationinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.constrainedarraysubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.constrainedcompositesubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.constrainedrecordsubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.constrainedscalarsubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.contextreferencesymbol method)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.entityinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.entitysymbol method)": [[49, "pyVHDLModel.Symbol.EntitySymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.indexedobjectorfunctioncallsymbol method)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.libraryreferencesymbol method)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.packagememberreferencesymbol method)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.packagereferencesymbol method)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.packagesymbol method)": [[49, "pyVHDLModel.Symbol.PackageSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.recordelementsymbol method)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.simpleobjectorfunctioncallsymbol method)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.simplesubtypesymbol method)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.subtypesymbol method)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol.__init__", false]], "__init__() (pyvhdlmodel.symbol.symbol method)": [[49, "pyVHDLModel.Symbol.Symbol.__init__", false]], "__init__() (pyvhdlmodel.type.accesstype method)": [[50, "pyVHDLModel.Type.AccessType.__init__", false]], "__init__() (pyvhdlmodel.type.anonymoustype method)": [[50, "pyVHDLModel.Type.AnonymousType.__init__", false]], "__init__() (pyvhdlmodel.type.arraytype method)": [[50, "pyVHDLModel.Type.ArrayType.__init__", false]], "__init__() (pyvhdlmodel.type.basetype method)": [[50, "pyVHDLModel.Type.BaseType.__init__", false]], "__init__() (pyvhdlmodel.type.compositetype method)": [[50, "pyVHDLModel.Type.CompositeType.__init__", false]], "__init__() (pyvhdlmodel.type.discretetypemixin method)": [[50, "pyVHDLModel.Type.DiscreteTypeMixin.__init__", false]], "__init__() (pyvhdlmodel.type.enumeratedtype method)": [[50, "pyVHDLModel.Type.EnumeratedType.__init__", false]], "__init__() (pyvhdlmodel.type.filetype method)": [[50, "pyVHDLModel.Type.FileType.__init__", false]], "__init__() (pyvhdlmodel.type.fulltype method)": [[50, "pyVHDLModel.Type.FullType.__init__", false]], "__init__() (pyvhdlmodel.type.integertype method)": [[50, "pyVHDLModel.Type.IntegerType.__init__", false]], "__init__() (pyvhdlmodel.type.numerictypemixin method)": [[50, "pyVHDLModel.Type.NumericTypeMixin.__init__", false]], "__init__() (pyvhdlmodel.type.physicaltype method)": [[50, "pyVHDLModel.Type.PhysicalType.__init__", false]], "__init__() (pyvhdlmodel.type.protectedtype method)": [[50, "pyVHDLModel.Type.ProtectedType.__init__", false]], "__init__() (pyvhdlmodel.type.protectedtypebody method)": [[50, "pyVHDLModel.Type.ProtectedTypeBody.__init__", false]], "__init__() (pyvhdlmodel.type.rangedscalartype method)": [[50, "pyVHDLModel.Type.RangedScalarType.__init__", false]], "__init__() (pyvhdlmodel.type.realtype method)": [[50, "pyVHDLModel.Type.RealType.__init__", false]], "__init__() (pyvhdlmodel.type.recordtype method)": [[50, "pyVHDLModel.Type.RecordType.__init__", false]], "__init__() (pyvhdlmodel.type.recordtypeelement method)": [[50, "pyVHDLModel.Type.RecordTypeElement.__init__", false]], "__init__() (pyvhdlmodel.type.scalartype method)": [[50, "pyVHDLModel.Type.ScalarType.__init__", false]], "__init__() (pyvhdlmodel.type.subtype method)": [[50, "pyVHDLModel.Type.Subtype.__init__", false]], "__init__() (pyvhdlmodel.type.type method)": [[50, "pyVHDLModel.Type.Type.__init__", false]], "__init__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__init__", false]], "__iter__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__iter__", false]], "__iter__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__iter__", false]], "__iter__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__iter__", false]], "__iter__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__iter__", false]], "__iter__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__iter__", false]], "__iter__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__iter__", false]], "__le__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__le__", false]], "__len__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__len__", false]], "__len__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__len__", false]], "__len__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__len__", false]], "__len__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__len__", false]], "__len__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__len__", false]], "__len__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__len__", false]], "__lt__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__lt__", false]], "__ne__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__ne__", false]], "__new__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__new__", false]], "__new__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__new__", false]], "__new__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__new__", false]], "__new__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__new__", false]], "__new__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__new__", false]], "__new__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__new__", false]], "__or__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__or__", false]], "__or__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__or__", false]], "__or__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__or__", false]], "__or__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__or__", false]], "__or__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__or__", false]], "__or__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__or__", false]], "__repr__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__repr__", false]], "__repr__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__repr__", false]], "__repr__() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.__repr__", false]], "__repr__() (pyvhdlmodel.designunit.architecture method)": [[34, "pyVHDLModel.DesignUnit.Architecture.__repr__", false]], "__repr__() (pyvhdlmodel.designunit.configuration method)": [[34, "pyVHDLModel.DesignUnit.Configuration.__repr__", false]], "__repr__() (pyvhdlmodel.designunit.entity method)": [[34, "pyVHDLModel.DesignUnit.Entity.__repr__", false]], "__repr__() (pyvhdlmodel.designunit.package method)": [[34, "pyVHDLModel.DesignUnit.Package.__repr__", false]], "__repr__() (pyvhdlmodel.designunit.packagebody method)": [[34, "pyVHDLModel.DesignUnit.PackageBody.__repr__", false]], "__repr__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__repr__", false]], "__repr__() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.fixed_float_types method)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.fixed_generic_pkg method)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.fixed_generic_pkg_body method)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.fixed_pkg method)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.float_generic_pkg method)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.float_generic_pkg_body method)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.float_pkg method)": [[37, "pyVHDLModel.IEEE.Float_Pkg.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.math_complex method)": [[37, "pyVHDLModel.IEEE.Math_Complex.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.math_complex_body method)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.math_real method)": [[37, "pyVHDLModel.IEEE.Math_Real.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.math_real_body method)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.numeric_bit method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.numeric_bit_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.numeric_bit_unsigned method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.numeric_bit_unsigned_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.numeric_std method)": [[37, "pyVHDLModel.IEEE.Numeric_Std.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.numeric_std_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.numeric_std_unsigned method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.numeric_std_unsigned_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.std_logic_1164 method)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.std_logic_1164_body method)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.std_logic_misc method)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.std_logic_misc_body method)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.__repr__", false]], "__repr__() (pyvhdlmodel.ieee.std_logic_textio method)": [[37, "pyVHDLModel.IEEE.std_logic_textio.__repr__", false]], "__repr__() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.__repr__", false]], "__repr__() (pyvhdlmodel.name.allname method)": [[40, "pyVHDLModel.Name.AllName.__repr__", false]], "__repr__() (pyvhdlmodel.name.attributename method)": [[40, "pyVHDLModel.Name.AttributeName.__repr__", false]], "__repr__() (pyvhdlmodel.name.indexedname method)": [[40, "pyVHDLModel.Name.IndexedName.__repr__", false]], "__repr__() (pyvhdlmodel.name.name method)": [[40, "pyVHDLModel.Name.Name.__repr__", false]], "__repr__() (pyvhdlmodel.name.openname method)": [[40, "pyVHDLModel.Name.OpenName.__repr__", false]], "__repr__() (pyvhdlmodel.name.parenthesisname method)": [[40, "pyVHDLModel.Name.ParenthesisName.__repr__", false]], "__repr__() (pyvhdlmodel.name.selectedname method)": [[40, "pyVHDLModel.Name.SelectedName.__repr__", false]], "__repr__() (pyvhdlmodel.name.simplename method)": [[40, "pyVHDLModel.Name.SimpleName.__repr__", false]], "__repr__() (pyvhdlmodel.name.slicedname method)": [[40, "pyVHDLModel.Name.SlicedName.__repr__", false]], "__repr__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__repr__", false]], "__repr__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__repr__", false]], "__repr__() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.__repr__", false]], "__repr__() (pyvhdlmodel.predefined.predefinedpackage method)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.__repr__", false]], "__repr__() (pyvhdlmodel.predefined.predefinedpackagebody method)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.__repr__", false]], "__repr__() (pyvhdlmodel.std.env method)": [[46, "pyVHDLModel.STD.Env.__repr__", false]], "__repr__() (pyvhdlmodel.std.env_body method)": [[46, "pyVHDLModel.STD.Env_Body.__repr__", false]], "__repr__() (pyvhdlmodel.std.standard method)": [[46, "pyVHDLModel.STD.Standard.__repr__", false]], "__repr__() (pyvhdlmodel.std.standard_body method)": [[46, "pyVHDLModel.STD.Standard_Body.__repr__", false]], "__repr__() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.__repr__", false]], "__repr__() (pyvhdlmodel.std.textio method)": [[46, "pyVHDLModel.STD.TextIO.__repr__", false]], "__repr__() (pyvhdlmodel.std.textio_body method)": [[46, "pyVHDLModel.STD.TextIO_Body.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.allpackagemembersreferencesymbol method)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.architecturesymbol method)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.componentinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.configurationinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.constrainedarraysubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.constrainedcompositesubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.constrainedrecordsubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.constrainedscalarsubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.contextreferencesymbol method)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.entityinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.entitysymbol method)": [[49, "pyVHDLModel.Symbol.EntitySymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.indexedobjectorfunctioncallsymbol method)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.libraryreferencesymbol method)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.packagememberreferencesymbol method)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.packagereferencesymbol method)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.packagesymbol method)": [[49, "pyVHDLModel.Symbol.PackageSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.recordelementsymbol method)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.simpleobjectorfunctioncallsymbol method)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.simplesubtypesymbol method)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.subtypesymbol method)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol.__repr__", false]], "__repr__() (pyvhdlmodel.symbol.symbol method)": [[49, "pyVHDLModel.Symbol.Symbol.__repr__", false]], "__repr__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__repr__", false]], "__ror__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__ror__", false]], "__ror__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__ror__", false]], "__ror__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__ror__", false]], "__ror__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__ror__", false]], "__ror__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__ror__", false]], "__ror__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__ror__", false]], "__str__() (pyvhdlmodel.association.associationitem method)": [[29, "pyVHDLModel.Association.AssociationItem.__str__", false]], "__str__() (pyvhdlmodel.association.genericassociationitem method)": [[29, "pyVHDLModel.Association.GenericAssociationItem.__str__", false]], "__str__() (pyvhdlmodel.association.parameterassociationitem method)": [[29, "pyVHDLModel.Association.ParameterAssociationItem.__str__", false]], "__str__() (pyvhdlmodel.association.portassociationitem method)": [[29, "pyVHDLModel.Association.PortAssociationItem.__str__", false]], "__str__() (pyvhdlmodel.base.direction method)": [[30, "pyVHDLModel.Base.Direction.__str__", false]], "__str__() (pyvhdlmodel.base.mode method)": [[30, "pyVHDLModel.Base.Mode.__str__", false]], "__str__() (pyvhdlmodel.base.range method)": [[30, "pyVHDLModel.Base.Range.__str__", false]], "__str__() (pyvhdlmodel.concurrent.generatecase method)": [[32, "pyVHDLModel.Concurrent.GenerateCase.__str__", false]], "__str__() (pyvhdlmodel.concurrent.indexedgeneratechoice method)": [[32, "pyVHDLModel.Concurrent.IndexedGenerateChoice.__str__", false]], "__str__() (pyvhdlmodel.concurrent.othersgeneratecase method)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase.__str__", false]], "__str__() (pyvhdlmodel.concurrent.rangedgeneratechoice method)": [[32, "pyVHDLModel.Concurrent.RangedGenerateChoice.__str__", false]], "__str__() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind.__str__", false]], "__str__() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind.__str__", false]], "__str__() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.__str__", false]], "__str__() (pyvhdlmodel.designunit.architecture method)": [[34, "pyVHDLModel.DesignUnit.Architecture.__str__", false]], "__str__() (pyvhdlmodel.designunit.configuration method)": [[34, "pyVHDLModel.DesignUnit.Configuration.__str__", false]], "__str__() (pyvhdlmodel.designunit.context method)": [[34, "pyVHDLModel.DesignUnit.Context.__str__", false]], "__str__() (pyvhdlmodel.designunit.entity method)": [[34, "pyVHDLModel.DesignUnit.Entity.__str__", false]], "__str__() (pyvhdlmodel.designunit.package method)": [[34, "pyVHDLModel.DesignUnit.Package.__str__", false]], "__str__() (pyvhdlmodel.designunit.packagebody method)": [[34, "pyVHDLModel.DesignUnit.PackageBody.__str__", false]], "__str__() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind.__str__", false]], "__str__() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document.__str__", false]], "__str__() (pyvhdlmodel.expression.absoluteexpression method)": [[36, "pyVHDLModel.Expression.AbsoluteExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.addingexpression method)": [[36, "pyVHDLModel.Expression.AddingExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.additionexpression method)": [[36, "pyVHDLModel.Expression.AdditionExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.aggregate method)": [[36, "pyVHDLModel.Expression.Aggregate.__str__", false]], "__str__() (pyvhdlmodel.expression.andexpression method)": [[36, "pyVHDLModel.Expression.AndExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.ascendingrangeexpression method)": [[36, "pyVHDLModel.Expression.AscendingRangeExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.binaryexpression method)": [[36, "pyVHDLModel.Expression.BinaryExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.bitstringliteral method)": [[36, "pyVHDLModel.Expression.BitStringLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.characterliteral method)": [[36, "pyVHDLModel.Expression.CharacterLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.concatenationexpression method)": [[36, "pyVHDLModel.Expression.ConcatenationExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.descendingrangeexpression method)": [[36, "pyVHDLModel.Expression.DescendingRangeExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.divisionexpression method)": [[36, "pyVHDLModel.Expression.DivisionExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.enumerationliteral method)": [[36, "pyVHDLModel.Expression.EnumerationLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.equalexpression method)": [[36, "pyVHDLModel.Expression.EqualExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.exponentiationexpression method)": [[36, "pyVHDLModel.Expression.ExponentiationExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.floatingpointliteral method)": [[36, "pyVHDLModel.Expression.FloatingPointLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.greaterequalexpression method)": [[36, "pyVHDLModel.Expression.GreaterEqualExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.greaterthanexpression method)": [[36, "pyVHDLModel.Expression.GreaterThanExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.identityexpression method)": [[36, "pyVHDLModel.Expression.IdentityExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.indexedaggregateelement method)": [[36, "pyVHDLModel.Expression.IndexedAggregateElement.__str__", false]], "__str__() (pyvhdlmodel.expression.integerliteral method)": [[36, "pyVHDLModel.Expression.IntegerLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.inverseexpression method)": [[36, "pyVHDLModel.Expression.InverseExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.lessequalexpression method)": [[36, "pyVHDLModel.Expression.LessEqualExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.lessthanexpression method)": [[36, "pyVHDLModel.Expression.LessThanExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.logicalexpression method)": [[36, "pyVHDLModel.Expression.LogicalExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.matchingequalexpression method)": [[36, "pyVHDLModel.Expression.MatchingEqualExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.matchinggreaterequalexpression method)": [[36, "pyVHDLModel.Expression.MatchingGreaterEqualExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.matchinggreaterthanexpression method)": [[36, "pyVHDLModel.Expression.MatchingGreaterThanExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.matchinglessequalexpression method)": [[36, "pyVHDLModel.Expression.MatchingLessEqualExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.matchinglessthanexpression method)": [[36, "pyVHDLModel.Expression.MatchingLessThanExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.matchingrelationalexpression method)": [[36, "pyVHDLModel.Expression.MatchingRelationalExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.matchingunequalexpression method)": [[36, "pyVHDLModel.Expression.MatchingUnequalExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.moduloexpression method)": [[36, "pyVHDLModel.Expression.ModuloExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.multiplyexpression method)": [[36, "pyVHDLModel.Expression.MultiplyExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.multiplyingexpression method)": [[36, "pyVHDLModel.Expression.MultiplyingExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.namedaggregateelement method)": [[36, "pyVHDLModel.Expression.NamedAggregateElement.__str__", false]], "__str__() (pyvhdlmodel.expression.nandexpression method)": [[36, "pyVHDLModel.Expression.NandExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.negationexpression method)": [[36, "pyVHDLModel.Expression.NegationExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.norexpression method)": [[36, "pyVHDLModel.Expression.NorExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.nullliteral method)": [[36, "pyVHDLModel.Expression.NullLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.orexpression method)": [[36, "pyVHDLModel.Expression.OrExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.othersaggregateelement method)": [[36, "pyVHDLModel.Expression.OthersAggregateElement.__str__", false]], "__str__() (pyvhdlmodel.expression.physicalfloatingliteral method)": [[36, "pyVHDLModel.Expression.PhysicalFloatingLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.physicalintegerliteral method)": [[36, "pyVHDLModel.Expression.PhysicalIntegerLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.physicalliteral method)": [[36, "pyVHDLModel.Expression.PhysicalLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.qualifiedexpression method)": [[36, "pyVHDLModel.Expression.QualifiedExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.qualifiedexpressionallocation method)": [[36, "pyVHDLModel.Expression.QualifiedExpressionAllocation.__str__", false]], "__str__() (pyvhdlmodel.expression.rangedaggregateelement method)": [[36, "pyVHDLModel.Expression.RangedAggregateElement.__str__", false]], "__str__() (pyvhdlmodel.expression.rangeexpression method)": [[36, "pyVHDLModel.Expression.RangeExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.relationalexpression method)": [[36, "pyVHDLModel.Expression.RelationalExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.remainderexpression method)": [[36, "pyVHDLModel.Expression.RemainderExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.rotateexpression method)": [[36, "pyVHDLModel.Expression.RotateExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.rotateleftexpression method)": [[36, "pyVHDLModel.Expression.RotateLeftExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.rotaterightexpression method)": [[36, "pyVHDLModel.Expression.RotateRightExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.shiftarithmeticexpression method)": [[36, "pyVHDLModel.Expression.ShiftArithmeticExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.shiftexpression method)": [[36, "pyVHDLModel.Expression.ShiftExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.shiftleftarithmeticexpression method)": [[36, "pyVHDLModel.Expression.ShiftLeftArithmeticExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.shiftleftlogicexpression method)": [[36, "pyVHDLModel.Expression.ShiftLeftLogicExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.shiftlogicexpression method)": [[36, "pyVHDLModel.Expression.ShiftLogicExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.shiftrightarithmeticexpression method)": [[36, "pyVHDLModel.Expression.ShiftRightArithmeticExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.shiftrightlogicexpression method)": [[36, "pyVHDLModel.Expression.ShiftRightLogicExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.simpleaggregateelement method)": [[36, "pyVHDLModel.Expression.SimpleAggregateElement.__str__", false]], "__str__() (pyvhdlmodel.expression.stringliteral method)": [[36, "pyVHDLModel.Expression.StringLiteral.__str__", false]], "__str__() (pyvhdlmodel.expression.subexpression method)": [[36, "pyVHDLModel.Expression.SubExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.subtractionexpression method)": [[36, "pyVHDLModel.Expression.SubtractionExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.subtypeallocation method)": [[36, "pyVHDLModel.Expression.SubtypeAllocation.__str__", false]], "__str__() (pyvhdlmodel.expression.ternaryexpression method)": [[36, "pyVHDLModel.Expression.TernaryExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.typeconversion method)": [[36, "pyVHDLModel.Expression.TypeConversion.__str__", false]], "__str__() (pyvhdlmodel.expression.unaryandexpression method)": [[36, "pyVHDLModel.Expression.UnaryAndExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.unaryexpression method)": [[36, "pyVHDLModel.Expression.UnaryExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.unarynandexpression method)": [[36, "pyVHDLModel.Expression.UnaryNandExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.unarynorexpression method)": [[36, "pyVHDLModel.Expression.UnaryNorExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.unaryorexpression method)": [[36, "pyVHDLModel.Expression.UnaryOrExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.unaryxnorexpression method)": [[36, "pyVHDLModel.Expression.UnaryXnorExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.unaryxorexpression method)": [[36, "pyVHDLModel.Expression.UnaryXorExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.unequalexpression method)": [[36, "pyVHDLModel.Expression.UnequalExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.whenelseexpression method)": [[36, "pyVHDLModel.Expression.WhenElseExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.xnorexpression method)": [[36, "pyVHDLModel.Expression.XnorExpression.__str__", false]], "__str__() (pyvhdlmodel.expression.xorexpression method)": [[36, "pyVHDLModel.Expression.XorExpression.__str__", false]], "__str__() (pyvhdlmodel.ieee.fixed_float_types method)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.__str__", false]], "__str__() (pyvhdlmodel.ieee.fixed_generic_pkg method)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.__str__", false]], "__str__() (pyvhdlmodel.ieee.fixed_generic_pkg_body method)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.fixed_pkg method)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.__str__", false]], "__str__() (pyvhdlmodel.ieee.float_generic_pkg method)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.__str__", false]], "__str__() (pyvhdlmodel.ieee.float_generic_pkg_body method)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.float_pkg method)": [[37, "pyVHDLModel.IEEE.Float_Pkg.__str__", false]], "__str__() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.__str__", false]], "__str__() (pyvhdlmodel.ieee.math_complex method)": [[37, "pyVHDLModel.IEEE.Math_Complex.__str__", false]], "__str__() (pyvhdlmodel.ieee.math_complex_body method)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.math_real method)": [[37, "pyVHDLModel.IEEE.Math_Real.__str__", false]], "__str__() (pyvhdlmodel.ieee.math_real_body method)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.numeric_bit method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.__str__", false]], "__str__() (pyvhdlmodel.ieee.numeric_bit_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.numeric_bit_unsigned method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.__str__", false]], "__str__() (pyvhdlmodel.ieee.numeric_bit_unsigned_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.numeric_std method)": [[37, "pyVHDLModel.IEEE.Numeric_Std.__str__", false]], "__str__() (pyvhdlmodel.ieee.numeric_std_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.numeric_std_unsigned method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.__str__", false]], "__str__() (pyvhdlmodel.ieee.numeric_std_unsigned_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.std_logic_1164 method)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.__str__", false]], "__str__() (pyvhdlmodel.ieee.std_logic_1164_body method)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.std_logic_misc method)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.__str__", false]], "__str__() (pyvhdlmodel.ieee.std_logic_misc_body method)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.__str__", false]], "__str__() (pyvhdlmodel.ieee.std_logic_textio method)": [[37, "pyVHDLModel.IEEE.std_logic_textio.__str__", false]], "__str__() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.__str__", false]], "__str__() (pyvhdlmodel.name.allname method)": [[40, "pyVHDLModel.Name.AllName.__str__", false]], "__str__() (pyvhdlmodel.name.attributename method)": [[40, "pyVHDLModel.Name.AttributeName.__str__", false]], "__str__() (pyvhdlmodel.name.indexedname method)": [[40, "pyVHDLModel.Name.IndexedName.__str__", false]], "__str__() (pyvhdlmodel.name.name method)": [[40, "pyVHDLModel.Name.Name.__str__", false]], "__str__() (pyvhdlmodel.name.openname method)": [[40, "pyVHDLModel.Name.OpenName.__str__", false]], "__str__() (pyvhdlmodel.name.parenthesisname method)": [[40, "pyVHDLModel.Name.ParenthesisName.__str__", false]], "__str__() (pyvhdlmodel.name.selectedname method)": [[40, "pyVHDLModel.Name.SelectedName.__str__", false]], "__str__() (pyvhdlmodel.name.simplename method)": [[40, "pyVHDLModel.Name.SimpleName.__str__", false]], "__str__() (pyvhdlmodel.name.slicedname method)": [[40, "pyVHDLModel.Name.SlicedName.__str__", false]], "__str__() (pyvhdlmodel.object.deferredconstant method)": [[42, "pyVHDLModel.Object.DeferredConstant.__str__", false]], "__str__() (pyvhdlmodel.objectclass method)": [[28, "pyVHDLModel.ObjectClass.__str__", false]], "__str__() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind.__str__", false]], "__str__() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind.__str__", false]], "__str__() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.__str__", false]], "__str__() (pyvhdlmodel.predefined.predefinedpackage method)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.__str__", false]], "__str__() (pyvhdlmodel.predefined.predefinedpackagebody method)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.__str__", false]], "__str__() (pyvhdlmodel.sequential.case method)": [[47, "pyVHDLModel.Sequential.Case.__str__", false]], "__str__() (pyvhdlmodel.sequential.indexedchoice method)": [[47, "pyVHDLModel.Sequential.IndexedChoice.__str__", false]], "__str__() (pyvhdlmodel.sequential.otherscase method)": [[47, "pyVHDLModel.Sequential.OthersCase.__str__", false]], "__str__() (pyvhdlmodel.sequential.rangedchoice method)": [[47, "pyVHDLModel.Sequential.RangedChoice.__str__", false]], "__str__() (pyvhdlmodel.std.env method)": [[46, "pyVHDLModel.STD.Env.__str__", false]], "__str__() (pyvhdlmodel.std.env_body method)": [[46, "pyVHDLModel.STD.Env_Body.__str__", false]], "__str__() (pyvhdlmodel.std.standard method)": [[46, "pyVHDLModel.STD.Standard.__str__", false]], "__str__() (pyvhdlmodel.std.standard_body method)": [[46, "pyVHDLModel.STD.Standard_Body.__str__", false]], "__str__() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.__str__", false]], "__str__() (pyvhdlmodel.std.textio method)": [[46, "pyVHDLModel.STD.TextIO.__str__", false]], "__str__() (pyvhdlmodel.std.textio_body method)": [[46, "pyVHDLModel.STD.TextIO_Body.__str__", false]], "__str__() (pyvhdlmodel.symbol.allpackagemembersreferencesymbol method)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.architecturesymbol method)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.componentinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.configurationinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.constrainedarraysubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.constrainedcompositesubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.constrainedrecordsubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.constrainedscalarsubtypesymbol method)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.contextreferencesymbol method)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.entityinstantiationsymbol method)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.entitysymbol method)": [[49, "pyVHDLModel.Symbol.EntitySymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.indexedobjectorfunctioncallsymbol method)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.libraryreferencesymbol method)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.packagememberreferencesymbol method)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.packagereferencesymbol method)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.packagesymbol method)": [[49, "pyVHDLModel.Symbol.PackageSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference.__str__", false]], "__str__() (pyvhdlmodel.symbol.recordelementsymbol method)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.simpleobjectorfunctioncallsymbol method)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.simplesubtypesymbol method)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.subtypesymbol method)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol.__str__", false]], "__str__() (pyvhdlmodel.symbol.symbol method)": [[49, "pyVHDLModel.Symbol.Symbol.__str__", false]], "__str__() (pyvhdlmodel.type.accesstype method)": [[50, "pyVHDLModel.Type.AccessType.__str__", false]], "__str__() (pyvhdlmodel.type.arraytype method)": [[50, "pyVHDLModel.Type.ArrayType.__str__", false]], "__str__() (pyvhdlmodel.type.enumeratedtype method)": [[50, "pyVHDLModel.Type.EnumeratedType.__str__", false]], "__str__() (pyvhdlmodel.type.filetype method)": [[50, "pyVHDLModel.Type.FileType.__str__", false]], "__str__() (pyvhdlmodel.type.integertype method)": [[50, "pyVHDLModel.Type.IntegerType.__str__", false]], "__str__() (pyvhdlmodel.type.physicaltype method)": [[50, "pyVHDLModel.Type.PhysicalType.__str__", false]], "__str__() (pyvhdlmodel.type.realtype method)": [[50, "pyVHDLModel.Type.RealType.__str__", false]], "__str__() (pyvhdlmodel.type.recordtype method)": [[50, "pyVHDLModel.Type.RecordType.__str__", false]], "__str__() (pyvhdlmodel.type.recordtypeelement method)": [[50, "pyVHDLModel.Type.RecordTypeElement.__str__", false]], "__str__() (pyvhdlmodel.type.subtype method)": [[50, "pyVHDLModel.Type.Subtype.__str__", false]], "__str__() (pyvhdlmodel.vhdlversion method)": [[28, "pyVHDLModel.VHDLVersion.__str__", false]], "__version_mappings__ (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.__VERSION_MAPPINGS__", false]], "_addarchitecture() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document._AddArchitecture", false]], "_addconfiguration() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document._AddConfiguration", false]], "_addcontext() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document._AddContext", false]], "_adddesignunit() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document._AddDesignUnit", false]], "_addentity() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document._AddEntity", false]], "_addpackage() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document._AddPackage", false]], "_addpackagebody() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document._AddPackageBody", false]], "_architectures (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._architectures", false]], "_architectures (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._architectures", false]], "_architectures (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._architectures", false]], "_architectures (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._architectures", false]], "_architectures (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._architectures", false]], "_compileordergraph (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._compileOrderGraph", false]], "_compileordervertex (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._compileOrderVertex", false]], "_configurations (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._configurations", false]], "_configurations (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._configurations", false]], "_configurations (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._configurations", false]], "_configurations (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._configurations", false]], "_configurations (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._configurations", false]], "_constants (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._constants", false]], "_constants (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._constants", false]], "_constants (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._constants", false]], "_constants (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._constants", false]], "_constants (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._constants", false]], "_constants (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._constants", false]], "_constants (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._constants", false]], "_constants (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._constants", false]], "_constants (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._constants", false]], "_constants (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._constants", false]], "_constants (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._constants", false]], "_constants (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._constants", false]], "_constants (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._constants", false]], "_constants (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._constants", false]], "_constants (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._constants", false]], "_constants (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._constants", false]], "_constants (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._constants", false]], "_constants (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._constants", false]], "_constants (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._constants", false]], "_constants (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._constants", false]], "_constants (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._constants", false]], "_constants (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._constants", false]], "_constants (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._constants", false]], "_constants (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._constants", false]], "_constants (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._constants", false]], "_constants (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._constants", false]], "_constants (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._constants", false]], "_constants (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._constants", false]], "_constants (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._constants", false]], "_constants (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._constants", false]], "_constants (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._constants", false]], "_constants (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._constants", false]], "_constants (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._constants", false]], "_constants (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._constants", false]], "_constants (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._constants", false]], "_constants (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._constants", false]], "_constants (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._constants", false]], "_constants (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._constants", false]], "_constants (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._constants", false]], "_constants (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._constants", false]], "_constants (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._constants", false]], "_constants (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._constants", false]], "_constants (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._constants", false]], "_constants (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._constants", false]], "_constants (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._constants", false]], "_constants (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._constants", false]], "_contextitems (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._contextItems", false]], "_contextitems (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._contextItems", false]], "_contextitems (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._contextItems", false]], "_contextitems (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._contextItems", false]], "_contextitems (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._contextItems", false]], "_contextitems (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._contextItems", false]], "_contextitems (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._contextItems", false]], "_contextitems (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._contextItems", false]], "_contextitems (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._contextItems", false]], "_contextitems (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._contextItems", false]], "_contextitems (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._contextItems", false]], "_contextitems (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._contextItems", false]], "_contextitems (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._contextItems", false]], "_contextitems (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._contextItems", false]], "_contextitems (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._contextItems", false]], "_contextitems (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._contextItems", false]], "_contextitems (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._contextItems", false]], "_contextitems (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._contextItems", false]], "_contextitems (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._contextItems", false]], "_contextitems (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._contextItems", false]], "_contextitems (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._contextItems", false]], "_contextitems (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._contextItems", false]], "_contextitems (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._contextItems", false]], "_contextreferences (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._contextReferences", false]], "_contextreferences (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._contextReferences", false]], "_contextreferences (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._contextReferences", false]], "_contextreferences (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._contextReferences", false]], "_contextreferences (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._contextReferences", false]], "_contextreferences (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._contextReferences", false]], "_contextreferences (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._contextReferences", false]], "_contextreferences (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._contextReferences", false]], "_contextreferences (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._contextReferences", false]], "_contextreferences (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._contextReferences", false]], "_contextreferences (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._contextReferences", false]], "_contextreferences (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._contextReferences", false]], "_contextreferences (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._contextReferences", false]], "_contextreferences (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._contextReferences", false]], "_contextreferences (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._contextReferences", false]], "_contextreferences (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._contextReferences", false]], "_contextreferences (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._contextReferences", false]], "_contextreferences (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._contextReferences", false]], "_contextreferences (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._contextReferences", false]], "_contextreferences (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._contextReferences", false]], "_contextreferences (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._contextReferences", false]], "_contexts (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._contexts", false]], "_contexts (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._contexts", false]], "_contexts (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._contexts", false]], "_contexts (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._contexts", false]], "_contexts (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._contexts", false]], "_declareditems (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._declaredItems", false]], "_declareditems (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._declaredItems", false]], "_declareditems (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._declaredItems", false]], "_declareditems (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._declaredItems", false]], "_declareditems (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._declaredItems", false]], "_declareditems (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._declaredItems", false]], "_declareditems (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._declaredItems", false]], "_declareditems (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._declaredItems", false]], "_declareditems (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._declaredItems", false]], "_declareditems (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._declaredItems", false]], "_declareditems (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._declaredItems", false]], "_declareditems (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._declaredItems", false]], "_declareditems (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._declaredItems", false]], "_declareditems (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._declaredItems", false]], "_declareditems (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._declaredItems", false]], "_declareditems (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._declaredItems", false]], "_declareditems (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._declaredItems", false]], "_declareditems (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._declaredItems", false]], "_declareditems (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._declaredItems", false]], "_declareditems (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._declaredItems", false]], "_declareditems (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._declaredItems", false]], "_dependencygraph (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._dependencyGraph", false]], "_dependencyvertex (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._dependencyVertex", false]], "_dependencyvertex (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._dependencyVertex", false]], "_designunits (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._designUnits", false]], "_document (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._document", false]], "_document (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._document", false]], "_document (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._document", false]], "_document (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._document", false]], "_document (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._document", false]], "_document (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._document", false]], "_document (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._document", false]], "_document (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._document", false]], "_document (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._document", false]], "_document (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._document", false]], "_document (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._document", false]], "_document (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._document", false]], "_document (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._document", false]], "_document (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._document", false]], "_document (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._document", false]], "_document (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._document", false]], "_document (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._document", false]], "_document (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._document", false]], "_document (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._document", false]], "_document (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._document", false]], "_document (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._document", false]], "_document (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._document", false]], "_document (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._document", false]], "_document (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._document", false]], "_document (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._document", false]], "_document (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._document", false]], "_document (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._document", false]], "_document (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._document", false]], "_document (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._document", false]], "_document (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._document", false]], "_document (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._document", false]], "_document (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._document", false]], "_document (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._document", false]], "_document (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._document", false]], "_document (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._document", false]], "_document (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._document", false]], "_document (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._document", false]], "_document (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._document", false]], "_document (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._document", false]], "_document (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._document", false]], "_document (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._document", false]], "_document (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._document", false]], "_document (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._document", false]], "_document (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._document", false]], "_document (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._document", false]], "_document (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._document", false]], "_documentation (pyvhdlmodel.base.documentedentitymixin attribute)": [[30, "pyVHDLModel.Base.DocumentedEntityMixin._documentation", false]], "_documentation (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._documentation", false]], "_documentation (pyvhdlmodel.concurrent.processstatement attribute)": [[32, "pyVHDLModel.Concurrent.ProcessStatement._documentation", false]], "_documentation (pyvhdlmodel.declaration.alias attribute)": [[33, "pyVHDLModel.Declaration.Alias._documentation", false]], "_documentation (pyvhdlmodel.declaration.attribute attribute)": [[33, "pyVHDLModel.Declaration.Attribute._documentation", false]], "_documentation (pyvhdlmodel.declaration.attributespecification attribute)": [[33, "pyVHDLModel.Declaration.AttributeSpecification._documentation", false]], "_documentation (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._documentation", false]], "_documentation (pyvhdlmodel.designunit.component attribute)": [[34, "pyVHDLModel.DesignUnit.Component._documentation", false]], "_documentation (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._documentation", false]], "_documentation (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._documentation", false]], "_documentation (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._documentation", false]], "_documentation (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._documentation", false]], "_documentation (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._documentation", false]], "_documentation (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._documentation", false]], "_documentation (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._documentation", false]], "_documentation (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._documentation", false]], "_documentation (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._documentation", false]], "_documentation (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._documentation", false]], "_documentation (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._documentation", false]], "_documentation (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._documentation", false]], "_documentation (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._documentation", false]], "_documentation (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._documentation", false]], "_documentation (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._documentation", false]], "_documentation (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._documentation", false]], "_documentation (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._documentation", false]], "_documentation (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._documentation", false]], "_documentation (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._documentation", false]], "_documentation (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._documentation", false]], "_documentation (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._documentation", false]], "_documentation (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._documentation", false]], "_documentation (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._documentation", false]], "_documentation (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._documentation", false]], "_documentation (pyvhdlmodel.instantiation.functioninstantiation attribute)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation._documentation", false]], "_documentation (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._documentation", false]], "_documentation (pyvhdlmodel.instantiation.procedureinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation._documentation", false]], "_documentation (pyvhdlmodel.interface.genericconstantinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.genericfunctioninterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.genericinterfaceitemmixin attribute)": [[39, "pyVHDLModel.Interface.GenericInterfaceItemMixin._documentation", false]], "_documentation (pyvhdlmodel.interface.genericpackageinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericPackageInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.genericprocedureinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.genericsubprograminterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericSubprogramInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.generictypeinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.interfaceitemmixin attribute)": [[39, "pyVHDLModel.Interface.InterfaceItemMixin._documentation", false]], "_documentation (pyvhdlmodel.interface.parameterconstantinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.parameterfileinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.parameterinterfaceitemmixin attribute)": [[39, "pyVHDLModel.Interface.ParameterInterfaceItemMixin._documentation", false]], "_documentation (pyvhdlmodel.interface.parametersignalinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.parametervariableinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.interface.portinterfaceitemmixin attribute)": [[39, "pyVHDLModel.Interface.PortInterfaceItemMixin._documentation", false]], "_documentation (pyvhdlmodel.interface.portsignalinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem._documentation", false]], "_documentation (pyvhdlmodel.object.baseconstant attribute)": [[42, "pyVHDLModel.Object.BaseConstant._documentation", false]], "_documentation (pyvhdlmodel.object.constant attribute)": [[42, "pyVHDLModel.Object.Constant._documentation", false]], "_documentation (pyvhdlmodel.object.deferredconstant attribute)": [[42, "pyVHDLModel.Object.DeferredConstant._documentation", false]], "_documentation (pyvhdlmodel.object.file attribute)": [[42, "pyVHDLModel.Object.File._documentation", false]], "_documentation (pyvhdlmodel.object.obj attribute)": [[42, "pyVHDLModel.Object.Obj._documentation", false]], "_documentation (pyvhdlmodel.object.sharedvariable attribute)": [[42, "pyVHDLModel.Object.SharedVariable._documentation", false]], "_documentation (pyvhdlmodel.object.signal attribute)": [[42, "pyVHDLModel.Object.Signal._documentation", false]], "_documentation (pyvhdlmodel.object.variable attribute)": [[42, "pyVHDLModel.Object.Variable._documentation", false]], "_documentation (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._documentation", false]], "_documentation (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._documentation", false]], "_documentation (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._documentation", false]], "_documentation (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._documentation", false]], "_documentation (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._documentation", false]], "_documentation (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._documentation", false]], "_documentation (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._documentation", false]], "_documentation (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._documentation", false]], "_documentation (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._documentation", false]], "_documentation (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._documentation", false]], "_documentation (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._documentation", false]], "_documentation (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._documentation", false]], "_documentation (pyvhdlmodel.subprogram.function attribute)": [[48, "pyVHDLModel.Subprogram.Function._documentation", false]], "_documentation (pyvhdlmodel.subprogram.functionmethod attribute)": [[48, "pyVHDLModel.Subprogram.FunctionMethod._documentation", false]], "_documentation (pyvhdlmodel.subprogram.procedure attribute)": [[48, "pyVHDLModel.Subprogram.Procedure._documentation", false]], "_documentation (pyvhdlmodel.subprogram.proceduremethod attribute)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod._documentation", false]], "_documentation (pyvhdlmodel.subprogram.subprogram attribute)": [[48, "pyVHDLModel.Subprogram.Subprogram._documentation", false]], "_documentation (pyvhdlmodel.type.accesstype attribute)": [[50, "pyVHDLModel.Type.AccessType._documentation", false]], "_documentation (pyvhdlmodel.type.anonymoustype attribute)": [[50, "pyVHDLModel.Type.AnonymousType._documentation", false]], "_documentation (pyvhdlmodel.type.arraytype attribute)": [[50, "pyVHDLModel.Type.ArrayType._documentation", false]], "_documentation (pyvhdlmodel.type.basetype attribute)": [[50, "pyVHDLModel.Type.BaseType._documentation", false]], "_documentation (pyvhdlmodel.type.compositetype attribute)": [[50, "pyVHDLModel.Type.CompositeType._documentation", false]], "_documentation (pyvhdlmodel.type.enumeratedtype attribute)": [[50, "pyVHDLModel.Type.EnumeratedType._documentation", false]], "_documentation (pyvhdlmodel.type.filetype attribute)": [[50, "pyVHDLModel.Type.FileType._documentation", false]], "_documentation (pyvhdlmodel.type.fulltype attribute)": [[50, "pyVHDLModel.Type.FullType._documentation", false]], "_documentation (pyvhdlmodel.type.integertype attribute)": [[50, "pyVHDLModel.Type.IntegerType._documentation", false]], "_documentation (pyvhdlmodel.type.physicaltype attribute)": [[50, "pyVHDLModel.Type.PhysicalType._documentation", false]], "_documentation (pyvhdlmodel.type.protectedtype attribute)": [[50, "pyVHDLModel.Type.ProtectedType._documentation", false]], "_documentation (pyvhdlmodel.type.protectedtypebody attribute)": [[50, "pyVHDLModel.Type.ProtectedTypeBody._documentation", false]], "_documentation (pyvhdlmodel.type.rangedscalartype attribute)": [[50, "pyVHDLModel.Type.RangedScalarType._documentation", false]], "_documentation (pyvhdlmodel.type.realtype attribute)": [[50, "pyVHDLModel.Type.RealType._documentation", false]], "_documentation (pyvhdlmodel.type.recordtype attribute)": [[50, "pyVHDLModel.Type.RecordType._documentation", false]], "_documentation (pyvhdlmodel.type.scalartype attribute)": [[50, "pyVHDLModel.Type.ScalarType._documentation", false]], "_documentation (pyvhdlmodel.type.subtype attribute)": [[50, "pyVHDLModel.Type.Subtype._documentation", false]], "_documentation (pyvhdlmodel.type.type attribute)": [[50, "pyVHDLModel.Type.Type._documentation", false]], "_documents (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._documents", false]], "_entities (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._entities", false]], "_entities (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._entities", false]], "_entities (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._entities", false]], "_entities (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._entities", false]], "_entities (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._entities", false]], "_files (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._files", false]], "_files (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._files", false]], "_files (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._files", false]], "_files (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._files", false]], "_files (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._files", false]], "_files (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._files", false]], "_files (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._files", false]], "_files (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._files", false]], "_files (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._files", false]], "_files (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._files", false]], "_files (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._files", false]], "_files (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._files", false]], "_files (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._files", false]], "_files (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._files", false]], "_files (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._files", false]], "_files (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._files", false]], "_files (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._files", false]], "_files (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._files", false]], "_files (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._files", false]], "_files (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._files", false]], "_files (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._files", false]], "_files (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._files", false]], "_files (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._files", false]], "_files (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._files", false]], "_files (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._files", false]], "_files (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._files", false]], "_files (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._files", false]], "_files (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._files", false]], "_files (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._files", false]], "_files (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._files", false]], "_files (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._files", false]], "_files (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._files", false]], "_files (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._files", false]], "_files (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._files", false]], "_files (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._files", false]], "_files (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._files", false]], "_files (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._files", false]], "_files (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._files", false]], "_files (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._files", false]], "_files (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._files", false]], "_files (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._files", false]], "_files (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._files", false]], "_files (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._files", false]], "_files (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._files", false]], "_files (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._files", false]], "_files (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._files", false]], "_functions (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._functions", false]], "_functions (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._functions", false]], "_functions (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._functions", false]], "_functions (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._functions", false]], "_functions (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._functions", false]], "_functions (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._functions", false]], "_functions (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._functions", false]], "_functions (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._functions", false]], "_functions (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._functions", false]], "_functions (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._functions", false]], "_functions (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._functions", false]], "_functions (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._functions", false]], "_functions (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._functions", false]], "_functions (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._functions", false]], "_functions (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._functions", false]], "_functions (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._functions", false]], "_functions (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._functions", false]], "_functions (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._functions", false]], "_functions (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._functions", false]], "_functions (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._functions", false]], "_functions (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._functions", false]], "_functions (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._functions", false]], "_functions (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._functions", false]], "_functions (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._functions", false]], "_functions (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._functions", false]], "_functions (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._functions", false]], "_functions (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._functions", false]], "_functions (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._functions", false]], "_functions (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._functions", false]], "_functions (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._functions", false]], "_functions (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._functions", false]], "_functions (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._functions", false]], "_functions (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._functions", false]], "_functions (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._functions", false]], "_functions (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._functions", false]], "_functions (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._functions", false]], "_functions (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._functions", false]], "_functions (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._functions", false]], "_functions (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._functions", false]], "_functions (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._functions", false]], "_functions (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._functions", false]], "_functions (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._functions", false]], "_functions (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._functions", false]], "_functions (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._functions", false]], "_functions (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._functions", false]], "_functions (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._functions", false]], "_generate_next_value_() (pyvhdlmodel.dependencygraphedgekind static method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind._generate_next_value_", false]], "_generate_next_value_() (pyvhdlmodel.dependencygraphvertexkind static method)": [[28, "pyVHDLModel.DependencyGraphVertexKind._generate_next_value_", false]], "_generate_next_value_() (pyvhdlmodel.designunitkind static method)": [[28, "pyVHDLModel.DesignUnitKind._generate_next_value_", false]], "_generate_next_value_() (pyvhdlmodel.objectgraphedgekind static method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind._generate_next_value_", false]], "_generate_next_value_() (pyvhdlmodel.objectgraphvertexkind static method)": [[28, "pyVHDLModel.ObjectGraphVertexKind._generate_next_value_", false]], "_generate_next_value_() (pyvhdlmodel.symbol.possiblereference static method)": [[49, "pyVHDLModel.Symbol.PossibleReference._generate_next_value_", false]], "_hierarchygraph (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._hierarchyGraph", false]], "_hierarchyvertex (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._hierarchyVertex", false]], "_hierarchyvertex (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._hierarchyVertex", false]], "_identifier (pyvhdlmodel.base.namedentitymixin attribute)": [[30, "pyVHDLModel.Base.NamedEntityMixin._identifier", false]], "_identifier (pyvhdlmodel.declaration.alias attribute)": [[33, "pyVHDLModel.Declaration.Alias._identifier", false]], "_identifier (pyvhdlmodel.declaration.attribute attribute)": [[33, "pyVHDLModel.Declaration.Attribute._identifier", false]], "_identifier (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._identifier", false]], "_identifier (pyvhdlmodel.designunit.component attribute)": [[34, "pyVHDLModel.DesignUnit.Component._identifier", false]], "_identifier (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._identifier", false]], "_identifier (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._identifier", false]], "_identifier (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._identifier", false]], "_identifier (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._identifier", false]], "_identifier (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._identifier", false]], "_identifier (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._identifier", false]], "_identifier (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._identifier", false]], "_identifier (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._identifier", false]], "_identifier (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._identifier", false]], "_identifier (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._identifier", false]], "_identifier (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._identifier", false]], "_identifier (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._identifier", false]], "_identifier (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._identifier", false]], "_identifier (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._identifier", false]], "_identifier (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._identifier", false]], "_identifier (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._identifier", false]], "_identifier (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._identifier", false]], "_identifier (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._identifier", false]], "_identifier (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._identifier", false]], "_identifier (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._identifier", false]], "_identifier (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._identifier", false]], "_identifier (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._identifier", false]], "_identifier (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._identifier", false]], "_identifier (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._identifier", false]], "_identifier (pyvhdlmodel.instantiation.functioninstantiation attribute)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation._identifier", false]], "_identifier (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._identifier", false]], "_identifier (pyvhdlmodel.instantiation.procedureinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation._identifier", false]], "_identifier (pyvhdlmodel.interface.genericfunctioninterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem._identifier", false]], "_identifier (pyvhdlmodel.interface.genericprocedureinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem._identifier", false]], "_identifier (pyvhdlmodel.interface.generictypeinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem._identifier", false]], "_identifier (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._identifier", false]], "_identifier (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._identifier", false]], "_identifier (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._identifier", false]], "_identifier (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._identifier", false]], "_identifier (pyvhdlmodel.pslmodel.defaultclock attribute)": [[43, "pyVHDLModel.PSLModel.DefaultClock._identifier", false]], "_identifier (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._identifier", false]], "_identifier (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._identifier", false]], "_identifier (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._identifier", false]], "_identifier (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._identifier", false]], "_identifier (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._identifier", false]], "_identifier (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._identifier", false]], "_identifier (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._identifier", false]], "_identifier (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._identifier", false]], "_identifier (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._identifier", false]], "_identifier (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._identifier", false]], "_identifier (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._identifier", false]], "_identifier (pyvhdlmodel.subprogram.function attribute)": [[48, "pyVHDLModel.Subprogram.Function._identifier", false]], "_identifier (pyvhdlmodel.subprogram.functionmethod attribute)": [[48, "pyVHDLModel.Subprogram.FunctionMethod._identifier", false]], "_identifier (pyvhdlmodel.subprogram.procedure attribute)": [[48, "pyVHDLModel.Subprogram.Procedure._identifier", false]], "_identifier (pyvhdlmodel.subprogram.proceduremethod attribute)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod._identifier", false]], "_identifier (pyvhdlmodel.subprogram.subprogram attribute)": [[48, "pyVHDLModel.Subprogram.Subprogram._identifier", false]], "_identifier (pyvhdlmodel.type.accesstype attribute)": [[50, "pyVHDLModel.Type.AccessType._identifier", false]], "_identifier (pyvhdlmodel.type.anonymoustype attribute)": [[50, "pyVHDLModel.Type.AnonymousType._identifier", false]], "_identifier (pyvhdlmodel.type.arraytype attribute)": [[50, "pyVHDLModel.Type.ArrayType._identifier", false]], "_identifier (pyvhdlmodel.type.basetype attribute)": [[50, "pyVHDLModel.Type.BaseType._identifier", false]], "_identifier (pyvhdlmodel.type.compositetype attribute)": [[50, "pyVHDLModel.Type.CompositeType._identifier", false]], "_identifier (pyvhdlmodel.type.enumeratedtype attribute)": [[50, "pyVHDLModel.Type.EnumeratedType._identifier", false]], "_identifier (pyvhdlmodel.type.filetype attribute)": [[50, "pyVHDLModel.Type.FileType._identifier", false]], "_identifier (pyvhdlmodel.type.fulltype attribute)": [[50, "pyVHDLModel.Type.FullType._identifier", false]], "_identifier (pyvhdlmodel.type.integertype attribute)": [[50, "pyVHDLModel.Type.IntegerType._identifier", false]], "_identifier (pyvhdlmodel.type.physicaltype attribute)": [[50, "pyVHDLModel.Type.PhysicalType._identifier", false]], "_identifier (pyvhdlmodel.type.protectedtype attribute)": [[50, "pyVHDLModel.Type.ProtectedType._identifier", false]], "_identifier (pyvhdlmodel.type.protectedtypebody attribute)": [[50, "pyVHDLModel.Type.ProtectedTypeBody._identifier", false]], "_identifier (pyvhdlmodel.type.rangedscalartype attribute)": [[50, "pyVHDLModel.Type.RangedScalarType._identifier", false]], "_identifier (pyvhdlmodel.type.realtype attribute)": [[50, "pyVHDLModel.Type.RealType._identifier", false]], "_identifier (pyvhdlmodel.type.recordtype attribute)": [[50, "pyVHDLModel.Type.RecordType._identifier", false]], "_identifier (pyvhdlmodel.type.scalartype attribute)": [[50, "pyVHDLModel.Type.ScalarType._identifier", false]], "_identifier (pyvhdlmodel.type.subtype attribute)": [[50, "pyVHDLModel.Type.Subtype._identifier", false]], "_identifier (pyvhdlmodel.type.type attribute)": [[50, "pyVHDLModel.Type.Type._identifier", false]], "_identifiers (pyvhdlmodel.base.multiplenamedentitymixin attribute)": [[30, "pyVHDLModel.Base.MultipleNamedEntityMixin._identifiers", false]], "_identifiers (pyvhdlmodel.interface.genericconstantinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem._identifiers", false]], "_identifiers (pyvhdlmodel.interface.parameterconstantinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem._identifiers", false]], "_identifiers (pyvhdlmodel.interface.parameterfileinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem._identifiers", false]], "_identifiers (pyvhdlmodel.interface.parametersignalinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem._identifiers", false]], "_identifiers (pyvhdlmodel.interface.parametervariableinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem._identifiers", false]], "_identifiers (pyvhdlmodel.interface.portsignalinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem._identifiers", false]], "_identifiers (pyvhdlmodel.object.baseconstant attribute)": [[42, "pyVHDLModel.Object.BaseConstant._identifiers", false]], "_identifiers (pyvhdlmodel.object.constant attribute)": [[42, "pyVHDLModel.Object.Constant._identifiers", false]], "_identifiers (pyvhdlmodel.object.deferredconstant attribute)": [[42, "pyVHDLModel.Object.DeferredConstant._identifiers", false]], "_identifiers (pyvhdlmodel.object.file attribute)": [[42, "pyVHDLModel.Object.File._identifiers", false]], "_identifiers (pyvhdlmodel.object.obj attribute)": [[42, "pyVHDLModel.Object.Obj._identifiers", false]], "_identifiers (pyvhdlmodel.object.sharedvariable attribute)": [[42, "pyVHDLModel.Object.SharedVariable._identifiers", false]], "_identifiers (pyvhdlmodel.object.signal attribute)": [[42, "pyVHDLModel.Object.Signal._identifiers", false]], "_identifiers (pyvhdlmodel.object.variable attribute)": [[42, "pyVHDLModel.Object.Variable._identifiers", false]], "_identifiers (pyvhdlmodel.type.recordtypeelement attribute)": [[50, "pyVHDLModel.Type.RecordTypeElement._identifiers", false]], "_iter_member_() (pyvhdlmodel.dependencygraphedgekind class method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind._iter_member_", false]], "_iter_member_() (pyvhdlmodel.dependencygraphvertexkind class method)": [[28, "pyVHDLModel.DependencyGraphVertexKind._iter_member_", false]], "_iter_member_() (pyvhdlmodel.designunitkind class method)": [[28, "pyVHDLModel.DesignUnitKind._iter_member_", false]], "_iter_member_() (pyvhdlmodel.objectgraphedgekind class method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind._iter_member_", false]], "_iter_member_() (pyvhdlmodel.objectgraphvertexkind class method)": [[28, "pyVHDLModel.ObjectGraphVertexKind._iter_member_", false]], "_iter_member_() (pyvhdlmodel.symbol.possiblereference class method)": [[49, "pyVHDLModel.Symbol.PossibleReference._iter_member_", false]], "_iter_member_by_def_() (pyvhdlmodel.dependencygraphedgekind class method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind._iter_member_by_def_", false]], "_iter_member_by_def_() (pyvhdlmodel.dependencygraphvertexkind class method)": [[28, "pyVHDLModel.DependencyGraphVertexKind._iter_member_by_def_", false]], "_iter_member_by_def_() (pyvhdlmodel.designunitkind class method)": [[28, "pyVHDLModel.DesignUnitKind._iter_member_by_def_", false]], "_iter_member_by_def_() (pyvhdlmodel.objectgraphedgekind class method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind._iter_member_by_def_", false]], "_iter_member_by_def_() (pyvhdlmodel.objectgraphvertexkind class method)": [[28, "pyVHDLModel.ObjectGraphVertexKind._iter_member_by_def_", false]], "_iter_member_by_def_() (pyvhdlmodel.symbol.possiblereference class method)": [[49, "pyVHDLModel.Symbol.PossibleReference._iter_member_by_def_", false]], "_iter_member_by_value_() (pyvhdlmodel.dependencygraphedgekind class method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind._iter_member_by_value_", false]], "_iter_member_by_value_() (pyvhdlmodel.dependencygraphvertexkind class method)": [[28, "pyVHDLModel.DependencyGraphVertexKind._iter_member_by_value_", false]], "_iter_member_by_value_() (pyvhdlmodel.designunitkind class method)": [[28, "pyVHDLModel.DesignUnitKind._iter_member_by_value_", false]], "_iter_member_by_value_() (pyvhdlmodel.objectgraphedgekind class method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind._iter_member_by_value_", false]], "_iter_member_by_value_() (pyvhdlmodel.objectgraphvertexkind class method)": [[28, "pyVHDLModel.ObjectGraphVertexKind._iter_member_by_value_", false]], "_iter_member_by_value_() (pyvhdlmodel.symbol.possiblereference class method)": [[49, "pyVHDLModel.Symbol.PossibleReference._iter_member_by_value_", false]], "_label (pyvhdlmodel.base.labeledentitymixin attribute)": [[30, "pyVHDLModel.Base.LabeledEntityMixin._label", false]], "_label (pyvhdlmodel.common.statement attribute)": [[31, "pyVHDLModel.Common.Statement._label", false]], "_label (pyvhdlmodel.concurrent.casegeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement._label", false]], "_label (pyvhdlmodel.concurrent.componentinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation._label", false]], "_label (pyvhdlmodel.concurrent.concurrentassertstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement._label", false]], "_label (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._label", false]], "_label (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._label", false]], "_label (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment._label", false]], "_label (pyvhdlmodel.concurrent.concurrentprocedurecall attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall._label", false]], "_label (pyvhdlmodel.concurrent.concurrentselectedsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment._label", false]], "_label (pyvhdlmodel.concurrent.concurrentsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment._label", false]], "_label (pyvhdlmodel.concurrent.concurrentsimplesignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment._label", false]], "_label (pyvhdlmodel.concurrent.concurrentstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement._label", false]], "_label (pyvhdlmodel.concurrent.configurationinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation._label", false]], "_label (pyvhdlmodel.concurrent.entityinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation._label", false]], "_label (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._label", false]], "_label (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._label", false]], "_label (pyvhdlmodel.concurrent.generatestatement attribute)": [[32, "pyVHDLModel.Concurrent.GenerateStatement._label", false]], "_label (pyvhdlmodel.concurrent.ifgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement._label", false]], "_label (pyvhdlmodel.concurrent.instantiation attribute)": [[32, "pyVHDLModel.Concurrent.Instantiation._label", false]], "_label (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._label", false]], "_label (pyvhdlmodel.concurrent.processstatement attribute)": [[32, "pyVHDLModel.Concurrent.ProcessStatement._label", false]], "_label (pyvhdlmodel.sequential.casestatement attribute)": [[47, "pyVHDLModel.Sequential.CaseStatement._label", false]], "_label (pyvhdlmodel.sequential.compoundstatement attribute)": [[47, "pyVHDLModel.Sequential.CompoundStatement._label", false]], "_label (pyvhdlmodel.sequential.endlessloopstatement attribute)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement._label", false]], "_label (pyvhdlmodel.sequential.exitstatement attribute)": [[47, "pyVHDLModel.Sequential.ExitStatement._label", false]], "_label (pyvhdlmodel.sequential.forloopstatement attribute)": [[47, "pyVHDLModel.Sequential.ForLoopStatement._label", false]], "_label (pyvhdlmodel.sequential.ifstatement attribute)": [[47, "pyVHDLModel.Sequential.IfStatement._label", false]], "_label (pyvhdlmodel.sequential.loopcontrolstatement attribute)": [[47, "pyVHDLModel.Sequential.LoopControlStatement._label", false]], "_label (pyvhdlmodel.sequential.loopstatement attribute)": [[47, "pyVHDLModel.Sequential.LoopStatement._label", false]], "_label (pyvhdlmodel.sequential.nextstatement attribute)": [[47, "pyVHDLModel.Sequential.NextStatement._label", false]], "_label (pyvhdlmodel.sequential.nullstatement attribute)": [[47, "pyVHDLModel.Sequential.NullStatement._label", false]], "_label (pyvhdlmodel.sequential.returnstatement attribute)": [[47, "pyVHDLModel.Sequential.ReturnStatement._label", false]], "_label (pyvhdlmodel.sequential.sequentialassertstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement._label", false]], "_label (pyvhdlmodel.sequential.sequentialprocedurecall attribute)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall._label", false]], "_label (pyvhdlmodel.sequential.sequentialreportstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement._label", false]], "_label (pyvhdlmodel.sequential.sequentialsignalassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment._label", false]], "_label (pyvhdlmodel.sequential.sequentialsimplesignalassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment._label", false]], "_label (pyvhdlmodel.sequential.sequentialstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialStatement._label", false]], "_label (pyvhdlmodel.sequential.sequentialvariableassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment._label", false]], "_label (pyvhdlmodel.sequential.waitstatement attribute)": [[47, "pyVHDLModel.Sequential.WaitStatement._label", false]], "_label (pyvhdlmodel.sequential.whileloopstatement attribute)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement._label", false]], "_libraries (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._libraries", false]], "_libraryreferences (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._libraryReferences", false]], "_libraryreferences (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._libraryReferences", false]], "_missing_() (pyvhdlmodel.dependencygraphedgekind class method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind._missing_", false]], "_missing_() (pyvhdlmodel.dependencygraphvertexkind class method)": [[28, "pyVHDLModel.DependencyGraphVertexKind._missing_", false]], "_missing_() (pyvhdlmodel.designunitkind class method)": [[28, "pyVHDLModel.DesignUnitKind._missing_", false]], "_missing_() (pyvhdlmodel.objectgraphedgekind class method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind._missing_", false]], "_missing_() (pyvhdlmodel.objectgraphvertexkind class method)": [[28, "pyVHDLModel.ObjectGraphVertexKind._missing_", false]], "_missing_() (pyvhdlmodel.symbol.possiblereference class method)": [[49, "pyVHDLModel.Symbol.PossibleReference._missing_", false]], "_name (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._name", false]], "_name (pyvhdlmodel.symbol.allpackagemembersreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol._name", false]], "_name (pyvhdlmodel.symbol.architecturesymbol attribute)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol._name", false]], "_name (pyvhdlmodel.symbol.componentinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol._name", false]], "_name (pyvhdlmodel.symbol.configurationinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol._name", false]], "_name (pyvhdlmodel.symbol.constrainedarraysubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol._name", false]], "_name (pyvhdlmodel.symbol.constrainedcompositesubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol._name", false]], "_name (pyvhdlmodel.symbol.constrainedrecordsubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol._name", false]], "_name (pyvhdlmodel.symbol.constrainedscalarsubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol._name", false]], "_name (pyvhdlmodel.symbol.contextreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol._name", false]], "_name (pyvhdlmodel.symbol.entityinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol._name", false]], "_name (pyvhdlmodel.symbol.entitysymbol attribute)": [[49, "pyVHDLModel.Symbol.EntitySymbol._name", false]], "_name (pyvhdlmodel.symbol.indexedobjectorfunctioncallsymbol attribute)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol._name", false]], "_name (pyvhdlmodel.symbol.libraryreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol._name", false]], "_name (pyvhdlmodel.symbol.packagememberreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol._name", false]], "_name (pyvhdlmodel.symbol.packagereferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol._name", false]], "_name (pyvhdlmodel.symbol.packagesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageSymbol._name", false]], "_name (pyvhdlmodel.symbol.recordelementsymbol attribute)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol._name", false]], "_name (pyvhdlmodel.symbol.simpleobjectorfunctioncallsymbol attribute)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol._name", false]], "_name (pyvhdlmodel.symbol.simplesubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol._name", false]], "_name (pyvhdlmodel.symbol.subtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol._name", false]], "_name (pyvhdlmodel.symbol.symbol attribute)": [[49, "pyVHDLModel.Symbol.Symbol._name", false]], "_normalizedidentifier (pyvhdlmodel.base.namedentitymixin attribute)": [[30, "pyVHDLModel.Base.NamedEntityMixin._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.declaration.alias attribute)": [[33, "pyVHDLModel.Declaration.Alias._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.declaration.attribute attribute)": [[33, "pyVHDLModel.Declaration.Attribute._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.component attribute)": [[34, "pyVHDLModel.DesignUnit.Component._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.instantiation.functioninstantiation attribute)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.instantiation.procedureinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.interface.genericfunctioninterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.interface.genericprocedureinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.interface.generictypeinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.pslmodel.defaultclock attribute)": [[43, "pyVHDLModel.PSLModel.DefaultClock._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.subprogram.function attribute)": [[48, "pyVHDLModel.Subprogram.Function._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.subprogram.functionmethod attribute)": [[48, "pyVHDLModel.Subprogram.FunctionMethod._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.subprogram.procedure attribute)": [[48, "pyVHDLModel.Subprogram.Procedure._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.subprogram.proceduremethod attribute)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.subprogram.subprogram attribute)": [[48, "pyVHDLModel.Subprogram.Subprogram._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.accesstype attribute)": [[50, "pyVHDLModel.Type.AccessType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.anonymoustype attribute)": [[50, "pyVHDLModel.Type.AnonymousType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.arraytype attribute)": [[50, "pyVHDLModel.Type.ArrayType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.basetype attribute)": [[50, "pyVHDLModel.Type.BaseType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.compositetype attribute)": [[50, "pyVHDLModel.Type.CompositeType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.enumeratedtype attribute)": [[50, "pyVHDLModel.Type.EnumeratedType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.filetype attribute)": [[50, "pyVHDLModel.Type.FileType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.fulltype attribute)": [[50, "pyVHDLModel.Type.FullType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.integertype attribute)": [[50, "pyVHDLModel.Type.IntegerType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.physicaltype attribute)": [[50, "pyVHDLModel.Type.PhysicalType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.protectedtype attribute)": [[50, "pyVHDLModel.Type.ProtectedType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.protectedtypebody attribute)": [[50, "pyVHDLModel.Type.ProtectedTypeBody._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.rangedscalartype attribute)": [[50, "pyVHDLModel.Type.RangedScalarType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.realtype attribute)": [[50, "pyVHDLModel.Type.RealType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.recordtype attribute)": [[50, "pyVHDLModel.Type.RecordType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.scalartype attribute)": [[50, "pyVHDLModel.Type.ScalarType._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.subtype attribute)": [[50, "pyVHDLModel.Type.Subtype._normalizedIdentifier", false]], "_normalizedidentifier (pyvhdlmodel.type.type attribute)": [[50, "pyVHDLModel.Type.Type._normalizedIdentifier", false]], "_normalizedidentifiers (pyvhdlmodel.base.multiplenamedentitymixin attribute)": [[30, "pyVHDLModel.Base.MultipleNamedEntityMixin._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.interface.genericconstantinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.interface.parameterconstantinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.interface.parameterfileinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.interface.parametersignalinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.interface.parametervariableinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.interface.portsignalinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.object.baseconstant attribute)": [[42, "pyVHDLModel.Object.BaseConstant._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.object.constant attribute)": [[42, "pyVHDLModel.Object.Constant._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.object.deferredconstant attribute)": [[42, "pyVHDLModel.Object.DeferredConstant._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.object.file attribute)": [[42, "pyVHDLModel.Object.File._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.object.obj attribute)": [[42, "pyVHDLModel.Object.Obj._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.object.sharedvariable attribute)": [[42, "pyVHDLModel.Object.SharedVariable._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.object.signal attribute)": [[42, "pyVHDLModel.Object.Signal._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.object.variable attribute)": [[42, "pyVHDLModel.Object.Variable._normalizedIdentifiers", false]], "_normalizedidentifiers (pyvhdlmodel.type.recordtypeelement attribute)": [[50, "pyVHDLModel.Type.RecordTypeElement._normalizedIdentifiers", false]], "_normalizedlabel (pyvhdlmodel.base.labeledentitymixin attribute)": [[30, "pyVHDLModel.Base.LabeledEntityMixin._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.common.statement attribute)": [[31, "pyVHDLModel.Common.Statement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.casegeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.componentinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentassertstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentprocedurecall attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentselectedsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentsimplesignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.concurrentstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.configurationinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.entityinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.generatestatement attribute)": [[32, "pyVHDLModel.Concurrent.GenerateStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.ifgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.instantiation attribute)": [[32, "pyVHDLModel.Concurrent.Instantiation._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.concurrent.processstatement attribute)": [[32, "pyVHDLModel.Concurrent.ProcessStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.casestatement attribute)": [[47, "pyVHDLModel.Sequential.CaseStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.compoundstatement attribute)": [[47, "pyVHDLModel.Sequential.CompoundStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.endlessloopstatement attribute)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.exitstatement attribute)": [[47, "pyVHDLModel.Sequential.ExitStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.forloopstatement attribute)": [[47, "pyVHDLModel.Sequential.ForLoopStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.ifstatement attribute)": [[47, "pyVHDLModel.Sequential.IfStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.loopcontrolstatement attribute)": [[47, "pyVHDLModel.Sequential.LoopControlStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.loopstatement attribute)": [[47, "pyVHDLModel.Sequential.LoopStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.nextstatement attribute)": [[47, "pyVHDLModel.Sequential.NextStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.nullstatement attribute)": [[47, "pyVHDLModel.Sequential.NullStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.returnstatement attribute)": [[47, "pyVHDLModel.Sequential.ReturnStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.sequentialassertstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.sequentialprocedurecall attribute)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.sequentialreportstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.sequentialsignalassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.sequentialsimplesignalassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.sequentialstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.sequentialvariableassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.waitstatement attribute)": [[47, "pyVHDLModel.Sequential.WaitStatement._normalizedLabel", false]], "_normalizedlabel (pyvhdlmodel.sequential.whileloopstatement attribute)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement._normalizedLabel", false]], "_numeric_repr_() (pyvhdlmodel.dependencygraphedgekind method)": [[28, "pyVHDLModel.DependencyGraphEdgeKind._numeric_repr_", false]], "_numeric_repr_() (pyvhdlmodel.dependencygraphvertexkind method)": [[28, "pyVHDLModel.DependencyGraphVertexKind._numeric_repr_", false]], "_numeric_repr_() (pyvhdlmodel.designunitkind method)": [[28, "pyVHDLModel.DesignUnitKind._numeric_repr_", false]], "_numeric_repr_() (pyvhdlmodel.objectgraphedgekind method)": [[28, "pyVHDLModel.ObjectGraphEdgeKind._numeric_repr_", false]], "_numeric_repr_() (pyvhdlmodel.objectgraphvertexkind method)": [[28, "pyVHDLModel.ObjectGraphVertexKind._numeric_repr_", false]], "_numeric_repr_() (pyvhdlmodel.symbol.possiblereference method)": [[49, "pyVHDLModel.Symbol.PossibleReference._numeric_repr_", false]], "_objectgraph (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._objectGraph", false]], "_packagebodies (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._packageBodies", false]], "_packagebodies (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._packageBodies", false]], "_packagebodies (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._packageBodies", false]], "_packagebodies (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._packageBodies", false]], "_packagebodies (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._packageBodies", false]], "_packagereferences (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._packageReferences", false]], "_packagereferences (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._packageReferences", false]], "_packagereferences (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._packageReferences", false]], "_packagereferences (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._packageReferences", false]], "_packagereferences (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._packageReferences", false]], "_packagereferences (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._packageReferences", false]], "_packagereferences (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._packageReferences", false]], "_packagereferences (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._packageReferences", false]], "_packagereferences (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._packageReferences", false]], "_packagereferences (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._packageReferences", false]], "_packagereferences (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._packageReferences", false]], "_packagereferences (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._packageReferences", false]], "_packagereferences (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._packageReferences", false]], "_packagereferences (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._packageReferences", false]], "_packagereferences (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._packageReferences", false]], "_packagereferences (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._packageReferences", false]], "_packagereferences (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._packageReferences", false]], "_packagereferences (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._packageReferences", false]], "_packagereferences (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._packageReferences", false]], "_packagereferences (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._packageReferences", false]], "_packagereferences (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._packageReferences", false]], "_packages (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._packages", false]], "_packages (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._packages", false]], "_packages (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._packages", false]], "_packages (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._packages", false]], "_packages (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._packages", false]], "_parent (pyvhdlmodel.association.associationitem attribute)": [[29, "pyVHDLModel.Association.AssociationItem._parent", false]], "_parent (pyvhdlmodel.association.genericassociationitem attribute)": [[29, "pyVHDLModel.Association.GenericAssociationItem._parent", false]], "_parent (pyvhdlmodel.association.parameterassociationitem attribute)": [[29, "pyVHDLModel.Association.ParameterAssociationItem._parent", false]], "_parent (pyvhdlmodel.association.portassociationitem attribute)": [[29, "pyVHDLModel.Association.PortAssociationItem._parent", false]], "_parent (pyvhdlmodel.base.basecase attribute)": [[30, "pyVHDLModel.Base.BaseCase._parent", false]], "_parent (pyvhdlmodel.base.basechoice attribute)": [[30, "pyVHDLModel.Base.BaseChoice._parent", false]], "_parent (pyvhdlmodel.base.modelentity attribute)": [[30, "pyVHDLModel.Base.ModelEntity._parent", false]], "_parent (pyvhdlmodel.base.range attribute)": [[30, "pyVHDLModel.Base.Range._parent", false]], "_parent (pyvhdlmodel.base.waveformelement attribute)": [[30, "pyVHDLModel.Base.WaveformElement._parent", false]], "_parent (pyvhdlmodel.common.statement attribute)": [[31, "pyVHDLModel.Common.Statement._parent", false]], "_parent (pyvhdlmodel.concurrent.casegeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement._parent", false]], "_parent (pyvhdlmodel.concurrent.componentinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentassertstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentchoice attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentChoice._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentprocedurecall attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentselectedsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentsignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentsimplesignalassignment attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment._parent", false]], "_parent (pyvhdlmodel.concurrent.concurrentstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement._parent", false]], "_parent (pyvhdlmodel.concurrent.configurationinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation._parent", false]], "_parent (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._parent", false]], "_parent (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._parent", false]], "_parent (pyvhdlmodel.concurrent.entityinstantiation attribute)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation._parent", false]], "_parent (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._parent", false]], "_parent (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._parent", false]], "_parent (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._parent", false]], "_parent (pyvhdlmodel.concurrent.generatestatement attribute)": [[32, "pyVHDLModel.Concurrent.GenerateStatement._parent", false]], "_parent (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._parent", false]], "_parent (pyvhdlmodel.concurrent.ifgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement._parent", false]], "_parent (pyvhdlmodel.concurrent.indexedgeneratechoice attribute)": [[32, "pyVHDLModel.Concurrent.IndexedGenerateChoice._parent", false]], "_parent (pyvhdlmodel.concurrent.instantiation attribute)": [[32, "pyVHDLModel.Concurrent.Instantiation._parent", false]], "_parent (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._parent", false]], "_parent (pyvhdlmodel.concurrent.processstatement attribute)": [[32, "pyVHDLModel.Concurrent.ProcessStatement._parent", false]], "_parent (pyvhdlmodel.concurrent.rangedgeneratechoice attribute)": [[32, "pyVHDLModel.Concurrent.RangedGenerateChoice._parent", false]], "_parent (pyvhdlmodel.declaration.alias attribute)": [[33, "pyVHDLModel.Declaration.Alias._parent", false]], "_parent (pyvhdlmodel.declaration.attribute attribute)": [[33, "pyVHDLModel.Declaration.Attribute._parent", false]], "_parent (pyvhdlmodel.declaration.attributespecification attribute)": [[33, "pyVHDLModel.Declaration.AttributeSpecification._parent", false]], "_parent (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._parent", false]], "_parent (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._parent", false]], "_parent (pyvhdlmodel.designunit.component attribute)": [[34, "pyVHDLModel.DesignUnit.Component._parent", false]], "_parent (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._parent", false]], "_parent (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._parent", false]], "_parent (pyvhdlmodel.designunit.contextreference attribute)": [[34, "pyVHDLModel.DesignUnit.ContextReference._parent", false]], "_parent (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._parent", false]], "_parent (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._parent", false]], "_parent (pyvhdlmodel.designunit.libraryclause attribute)": [[34, "pyVHDLModel.DesignUnit.LibraryClause._parent", false]], "_parent (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._parent", false]], "_parent (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._parent", false]], "_parent (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._parent", false]], "_parent (pyvhdlmodel.designunit.reference attribute)": [[34, "pyVHDLModel.DesignUnit.Reference._parent", false]], "_parent (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._parent", false]], "_parent (pyvhdlmodel.designunit.useclause attribute)": [[34, "pyVHDLModel.DesignUnit.UseClause._parent", false]], "_parent (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._parent", false]], "_parent (pyvhdlmodel.expression.absoluteexpression attribute)": [[36, "pyVHDLModel.Expression.AbsoluteExpression._parent", false]], "_parent (pyvhdlmodel.expression.addingexpression attribute)": [[36, "pyVHDLModel.Expression.AddingExpression._parent", false]], "_parent (pyvhdlmodel.expression.additionexpression attribute)": [[36, "pyVHDLModel.Expression.AdditionExpression._parent", false]], "_parent (pyvhdlmodel.expression.aggregate attribute)": [[36, "pyVHDLModel.Expression.Aggregate._parent", false]], "_parent (pyvhdlmodel.expression.aggregateelement attribute)": [[36, "pyVHDLModel.Expression.AggregateElement._parent", false]], "_parent (pyvhdlmodel.expression.allocation attribute)": [[36, "pyVHDLModel.Expression.Allocation._parent", false]], "_parent (pyvhdlmodel.expression.andexpression attribute)": [[36, "pyVHDLModel.Expression.AndExpression._parent", false]], "_parent (pyvhdlmodel.expression.ascendingrangeexpression attribute)": [[36, "pyVHDLModel.Expression.AscendingRangeExpression._parent", false]], "_parent (pyvhdlmodel.expression.baseexpression attribute)": [[36, "pyVHDLModel.Expression.BaseExpression._parent", false]], "_parent (pyvhdlmodel.expression.binaryexpression attribute)": [[36, "pyVHDLModel.Expression.BinaryExpression._parent", false]], "_parent (pyvhdlmodel.expression.bitstringliteral attribute)": [[36, "pyVHDLModel.Expression.BitStringLiteral._parent", false]], "_parent (pyvhdlmodel.expression.characterliteral attribute)": [[36, "pyVHDLModel.Expression.CharacterLiteral._parent", false]], "_parent (pyvhdlmodel.expression.concatenationexpression attribute)": [[36, "pyVHDLModel.Expression.ConcatenationExpression._parent", false]], "_parent (pyvhdlmodel.expression.descendingrangeexpression attribute)": [[36, "pyVHDLModel.Expression.DescendingRangeExpression._parent", false]], "_parent (pyvhdlmodel.expression.divisionexpression attribute)": [[36, "pyVHDLModel.Expression.DivisionExpression._parent", false]], "_parent (pyvhdlmodel.expression.enumerationliteral attribute)": [[36, "pyVHDLModel.Expression.EnumerationLiteral._parent", false]], "_parent (pyvhdlmodel.expression.equalexpression attribute)": [[36, "pyVHDLModel.Expression.EqualExpression._parent", false]], "_parent (pyvhdlmodel.expression.exponentiationexpression attribute)": [[36, "pyVHDLModel.Expression.ExponentiationExpression._parent", false]], "_parent (pyvhdlmodel.expression.floatingpointliteral attribute)": [[36, "pyVHDLModel.Expression.FloatingPointLiteral._parent", false]], "_parent (pyvhdlmodel.expression.functioncall attribute)": [[36, "pyVHDLModel.Expression.FunctionCall._parent", false]], "_parent (pyvhdlmodel.expression.greaterequalexpression attribute)": [[36, "pyVHDLModel.Expression.GreaterEqualExpression._parent", false]], "_parent (pyvhdlmodel.expression.greaterthanexpression attribute)": [[36, "pyVHDLModel.Expression.GreaterThanExpression._parent", false]], "_parent (pyvhdlmodel.expression.identityexpression attribute)": [[36, "pyVHDLModel.Expression.IdentityExpression._parent", false]], "_parent (pyvhdlmodel.expression.indexedaggregateelement attribute)": [[36, "pyVHDLModel.Expression.IndexedAggregateElement._parent", false]], "_parent (pyvhdlmodel.expression.integerliteral attribute)": [[36, "pyVHDLModel.Expression.IntegerLiteral._parent", false]], "_parent (pyvhdlmodel.expression.inverseexpression attribute)": [[36, "pyVHDLModel.Expression.InverseExpression._parent", false]], "_parent (pyvhdlmodel.expression.lessequalexpression attribute)": [[36, "pyVHDLModel.Expression.LessEqualExpression._parent", false]], "_parent (pyvhdlmodel.expression.lessthanexpression attribute)": [[36, "pyVHDLModel.Expression.LessThanExpression._parent", false]], "_parent (pyvhdlmodel.expression.literal attribute)": [[36, "pyVHDLModel.Expression.Literal._parent", false]], "_parent (pyvhdlmodel.expression.logicalexpression attribute)": [[36, "pyVHDLModel.Expression.LogicalExpression._parent", false]], "_parent (pyvhdlmodel.expression.matchingequalexpression attribute)": [[36, "pyVHDLModel.Expression.MatchingEqualExpression._parent", false]], "_parent (pyvhdlmodel.expression.matchinggreaterequalexpression attribute)": [[36, "pyVHDLModel.Expression.MatchingGreaterEqualExpression._parent", false]], "_parent (pyvhdlmodel.expression.matchinggreaterthanexpression attribute)": [[36, "pyVHDLModel.Expression.MatchingGreaterThanExpression._parent", false]], "_parent (pyvhdlmodel.expression.matchinglessequalexpression attribute)": [[36, "pyVHDLModel.Expression.MatchingLessEqualExpression._parent", false]], "_parent (pyvhdlmodel.expression.matchinglessthanexpression attribute)": [[36, "pyVHDLModel.Expression.MatchingLessThanExpression._parent", false]], "_parent (pyvhdlmodel.expression.matchingrelationalexpression attribute)": [[36, "pyVHDLModel.Expression.MatchingRelationalExpression._parent", false]], "_parent (pyvhdlmodel.expression.matchingunequalexpression attribute)": [[36, "pyVHDLModel.Expression.MatchingUnequalExpression._parent", false]], "_parent (pyvhdlmodel.expression.moduloexpression attribute)": [[36, "pyVHDLModel.Expression.ModuloExpression._parent", false]], "_parent (pyvhdlmodel.expression.multiplyexpression attribute)": [[36, "pyVHDLModel.Expression.MultiplyExpression._parent", false]], "_parent (pyvhdlmodel.expression.multiplyingexpression attribute)": [[36, "pyVHDLModel.Expression.MultiplyingExpression._parent", false]], "_parent (pyvhdlmodel.expression.namedaggregateelement attribute)": [[36, "pyVHDLModel.Expression.NamedAggregateElement._parent", false]], "_parent (pyvhdlmodel.expression.nandexpression attribute)": [[36, "pyVHDLModel.Expression.NandExpression._parent", false]], "_parent (pyvhdlmodel.expression.negationexpression attribute)": [[36, "pyVHDLModel.Expression.NegationExpression._parent", false]], "_parent (pyvhdlmodel.expression.norexpression attribute)": [[36, "pyVHDLModel.Expression.NorExpression._parent", false]], "_parent (pyvhdlmodel.expression.nullliteral attribute)": [[36, "pyVHDLModel.Expression.NullLiteral._parent", false]], "_parent (pyvhdlmodel.expression.numericliteral attribute)": [[36, "pyVHDLModel.Expression.NumericLiteral._parent", false]], "_parent (pyvhdlmodel.expression.orexpression attribute)": [[36, "pyVHDLModel.Expression.OrExpression._parent", false]], "_parent (pyvhdlmodel.expression.othersaggregateelement attribute)": [[36, "pyVHDLModel.Expression.OthersAggregateElement._parent", false]], "_parent (pyvhdlmodel.expression.physicalfloatingliteral attribute)": [[36, "pyVHDLModel.Expression.PhysicalFloatingLiteral._parent", false]], "_parent (pyvhdlmodel.expression.physicalintegerliteral attribute)": [[36, "pyVHDLModel.Expression.PhysicalIntegerLiteral._parent", false]], "_parent (pyvhdlmodel.expression.physicalliteral attribute)": [[36, "pyVHDLModel.Expression.PhysicalLiteral._parent", false]], "_parent (pyvhdlmodel.expression.qualifiedexpression attribute)": [[36, "pyVHDLModel.Expression.QualifiedExpression._parent", false]], "_parent (pyvhdlmodel.expression.qualifiedexpressionallocation attribute)": [[36, "pyVHDLModel.Expression.QualifiedExpressionAllocation._parent", false]], "_parent (pyvhdlmodel.expression.rangedaggregateelement attribute)": [[36, "pyVHDLModel.Expression.RangedAggregateElement._parent", false]], "_parent (pyvhdlmodel.expression.rangeexpression attribute)": [[36, "pyVHDLModel.Expression.RangeExpression._parent", false]], "_parent (pyvhdlmodel.expression.relationalexpression attribute)": [[36, "pyVHDLModel.Expression.RelationalExpression._parent", false]], "_parent (pyvhdlmodel.expression.remainderexpression attribute)": [[36, "pyVHDLModel.Expression.RemainderExpression._parent", false]], "_parent (pyvhdlmodel.expression.rotateexpression attribute)": [[36, "pyVHDLModel.Expression.RotateExpression._parent", false]], "_parent (pyvhdlmodel.expression.rotateleftexpression attribute)": [[36, "pyVHDLModel.Expression.RotateLeftExpression._parent", false]], "_parent (pyvhdlmodel.expression.rotaterightexpression attribute)": [[36, "pyVHDLModel.Expression.RotateRightExpression._parent", false]], "_parent (pyvhdlmodel.expression.shiftarithmeticexpression attribute)": [[36, "pyVHDLModel.Expression.ShiftArithmeticExpression._parent", false]], "_parent (pyvhdlmodel.expression.shiftexpression attribute)": [[36, "pyVHDLModel.Expression.ShiftExpression._parent", false]], "_parent (pyvhdlmodel.expression.shiftleftarithmeticexpression attribute)": [[36, "pyVHDLModel.Expression.ShiftLeftArithmeticExpression._parent", false]], "_parent (pyvhdlmodel.expression.shiftleftlogicexpression attribute)": [[36, "pyVHDLModel.Expression.ShiftLeftLogicExpression._parent", false]], "_parent (pyvhdlmodel.expression.shiftlogicexpression attribute)": [[36, "pyVHDLModel.Expression.ShiftLogicExpression._parent", false]], "_parent (pyvhdlmodel.expression.shiftrightarithmeticexpression attribute)": [[36, "pyVHDLModel.Expression.ShiftRightArithmeticExpression._parent", false]], "_parent (pyvhdlmodel.expression.shiftrightlogicexpression attribute)": [[36, "pyVHDLModel.Expression.ShiftRightLogicExpression._parent", false]], "_parent (pyvhdlmodel.expression.simpleaggregateelement attribute)": [[36, "pyVHDLModel.Expression.SimpleAggregateElement._parent", false]], "_parent (pyvhdlmodel.expression.stringliteral attribute)": [[36, "pyVHDLModel.Expression.StringLiteral._parent", false]], "_parent (pyvhdlmodel.expression.subexpression attribute)": [[36, "pyVHDLModel.Expression.SubExpression._parent", false]], "_parent (pyvhdlmodel.expression.subtractionexpression attribute)": [[36, "pyVHDLModel.Expression.SubtractionExpression._parent", false]], "_parent (pyvhdlmodel.expression.subtypeallocation attribute)": [[36, "pyVHDLModel.Expression.SubtypeAllocation._parent", false]], "_parent (pyvhdlmodel.expression.ternaryexpression attribute)": [[36, "pyVHDLModel.Expression.TernaryExpression._parent", false]], "_parent (pyvhdlmodel.expression.typeconversion attribute)": [[36, "pyVHDLModel.Expression.TypeConversion._parent", false]], "_parent (pyvhdlmodel.expression.unaryandexpression attribute)": [[36, "pyVHDLModel.Expression.UnaryAndExpression._parent", false]], "_parent (pyvhdlmodel.expression.unaryexpression attribute)": [[36, "pyVHDLModel.Expression.UnaryExpression._parent", false]], "_parent (pyvhdlmodel.expression.unarynandexpression attribute)": [[36, "pyVHDLModel.Expression.UnaryNandExpression._parent", false]], "_parent (pyvhdlmodel.expression.unarynorexpression attribute)": [[36, "pyVHDLModel.Expression.UnaryNorExpression._parent", false]], "_parent (pyvhdlmodel.expression.unaryorexpression attribute)": [[36, "pyVHDLModel.Expression.UnaryOrExpression._parent", false]], "_parent (pyvhdlmodel.expression.unaryxnorexpression attribute)": [[36, "pyVHDLModel.Expression.UnaryXnorExpression._parent", false]], "_parent (pyvhdlmodel.expression.unaryxorexpression attribute)": [[36, "pyVHDLModel.Expression.UnaryXorExpression._parent", false]], "_parent (pyvhdlmodel.expression.unequalexpression attribute)": [[36, "pyVHDLModel.Expression.UnequalExpression._parent", false]], "_parent (pyvhdlmodel.expression.whenelseexpression attribute)": [[36, "pyVHDLModel.Expression.WhenElseExpression._parent", false]], "_parent (pyvhdlmodel.expression.xnorexpression attribute)": [[36, "pyVHDLModel.Expression.XnorExpression._parent", false]], "_parent (pyvhdlmodel.expression.xorexpression attribute)": [[36, "pyVHDLModel.Expression.XorExpression._parent", false]], "_parent (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._parent", false]], "_parent (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._parent", false]], "_parent (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._parent", false]], "_parent (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._parent", false]], "_parent (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._parent", false]], "_parent (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._parent", false]], "_parent (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._parent", false]], "_parent (pyvhdlmodel.ieee.ieee attribute)": [[37, "pyVHDLModel.IEEE.Ieee._parent", false]], "_parent (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._parent", false]], "_parent (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._parent", false]], "_parent (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._parent", false]], "_parent (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._parent", false]], "_parent (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._parent", false]], "_parent (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._parent", false]], "_parent (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._parent", false]], "_parent (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._parent", false]], "_parent (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._parent", false]], "_parent (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._parent", false]], "_parent (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._parent", false]], "_parent (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._parent", false]], "_parent (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._parent", false]], "_parent (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._parent", false]], "_parent (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._parent", false]], "_parent (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._parent", false]], "_parent (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._parent", false]], "_parent (pyvhdlmodel.instantiation.functioninstantiation attribute)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation._parent", false]], "_parent (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._parent", false]], "_parent (pyvhdlmodel.instantiation.procedureinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation._parent", false]], "_parent (pyvhdlmodel.interface.genericconstantinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem._parent", false]], "_parent (pyvhdlmodel.interface.genericfunctioninterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem._parent", false]], "_parent (pyvhdlmodel.interface.genericprocedureinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem._parent", false]], "_parent (pyvhdlmodel.interface.generictypeinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem._parent", false]], "_parent (pyvhdlmodel.interface.parameterconstantinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem._parent", false]], "_parent (pyvhdlmodel.interface.parameterfileinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem._parent", false]], "_parent (pyvhdlmodel.interface.parametersignalinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem._parent", false]], "_parent (pyvhdlmodel.interface.parametervariableinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem._parent", false]], "_parent (pyvhdlmodel.interface.portsignalinterfaceitem attribute)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem._parent", false]], "_parent (pyvhdlmodel.library attribute)": [[28, "pyVHDLModel.Library._parent", false]], "_parent (pyvhdlmodel.name.allname attribute)": [[40, "pyVHDLModel.Name.AllName._parent", false]], "_parent (pyvhdlmodel.name.attributename attribute)": [[40, "pyVHDLModel.Name.AttributeName._parent", false]], "_parent (pyvhdlmodel.name.indexedname attribute)": [[40, "pyVHDLModel.Name.IndexedName._parent", false]], "_parent (pyvhdlmodel.name.name attribute)": [[40, "pyVHDLModel.Name.Name._parent", false]], "_parent (pyvhdlmodel.name.openname attribute)": [[40, "pyVHDLModel.Name.OpenName._parent", false]], "_parent (pyvhdlmodel.name.parenthesisname attribute)": [[40, "pyVHDLModel.Name.ParenthesisName._parent", false]], "_parent (pyvhdlmodel.name.selectedname attribute)": [[40, "pyVHDLModel.Name.SelectedName._parent", false]], "_parent (pyvhdlmodel.name.simplename attribute)": [[40, "pyVHDLModel.Name.SimpleName._parent", false]], "_parent (pyvhdlmodel.name.slicedname attribute)": [[40, "pyVHDLModel.Name.SlicedName._parent", false]], "_parent (pyvhdlmodel.object.baseconstant attribute)": [[42, "pyVHDLModel.Object.BaseConstant._parent", false]], "_parent (pyvhdlmodel.object.constant attribute)": [[42, "pyVHDLModel.Object.Constant._parent", false]], "_parent (pyvhdlmodel.object.deferredconstant attribute)": [[42, "pyVHDLModel.Object.DeferredConstant._parent", false]], "_parent (pyvhdlmodel.object.file attribute)": [[42, "pyVHDLModel.Object.File._parent", false]], "_parent (pyvhdlmodel.object.obj attribute)": [[42, "pyVHDLModel.Object.Obj._parent", false]], "_parent (pyvhdlmodel.object.sharedvariable attribute)": [[42, "pyVHDLModel.Object.SharedVariable._parent", false]], "_parent (pyvhdlmodel.object.signal attribute)": [[42, "pyVHDLModel.Object.Signal._parent", false]], "_parent (pyvhdlmodel.object.variable attribute)": [[42, "pyVHDLModel.Object.Variable._parent", false]], "_parent (pyvhdlmodel.predefined.predefinedlibrary attribute)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary._parent", false]], "_parent (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._parent", false]], "_parent (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._parent", false]], "_parent (pyvhdlmodel.pslmodel.defaultclock attribute)": [[43, "pyVHDLModel.PSLModel.DefaultClock._parent", false]], "_parent (pyvhdlmodel.pslmodel.pslentity attribute)": [[43, "pyVHDLModel.PSLModel.PSLEntity._parent", false]], "_parent (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._parent", false]], "_parent (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._parent", false]], "_parent (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._parent", false]], "_parent (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._parent", false]], "_parent (pyvhdlmodel.sequential.branch attribute)": [[47, "pyVHDLModel.Sequential.Branch._parent", false]], "_parent (pyvhdlmodel.sequential.case attribute)": [[47, "pyVHDLModel.Sequential.Case._parent", false]], "_parent (pyvhdlmodel.sequential.casestatement attribute)": [[47, "pyVHDLModel.Sequential.CaseStatement._parent", false]], "_parent (pyvhdlmodel.sequential.compoundstatement attribute)": [[47, "pyVHDLModel.Sequential.CompoundStatement._parent", false]], "_parent (pyvhdlmodel.sequential.elsebranch attribute)": [[47, "pyVHDLModel.Sequential.ElseBranch._parent", false]], "_parent (pyvhdlmodel.sequential.elsifbranch attribute)": [[47, "pyVHDLModel.Sequential.ElsifBranch._parent", false]], "_parent (pyvhdlmodel.sequential.endlessloopstatement attribute)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement._parent", false]], "_parent (pyvhdlmodel.sequential.exitstatement attribute)": [[47, "pyVHDLModel.Sequential.ExitStatement._parent", false]], "_parent (pyvhdlmodel.sequential.forloopstatement attribute)": [[47, "pyVHDLModel.Sequential.ForLoopStatement._parent", false]], "_parent (pyvhdlmodel.sequential.ifbranch attribute)": [[47, "pyVHDLModel.Sequential.IfBranch._parent", false]], "_parent (pyvhdlmodel.sequential.ifstatement attribute)": [[47, "pyVHDLModel.Sequential.IfStatement._parent", false]], "_parent (pyvhdlmodel.sequential.indexedchoice attribute)": [[47, "pyVHDLModel.Sequential.IndexedChoice._parent", false]], "_parent (pyvhdlmodel.sequential.loopcontrolstatement attribute)": [[47, "pyVHDLModel.Sequential.LoopControlStatement._parent", false]], "_parent (pyvhdlmodel.sequential.loopstatement attribute)": [[47, "pyVHDLModel.Sequential.LoopStatement._parent", false]], "_parent (pyvhdlmodel.sequential.nextstatement attribute)": [[47, "pyVHDLModel.Sequential.NextStatement._parent", false]], "_parent (pyvhdlmodel.sequential.nullstatement attribute)": [[47, "pyVHDLModel.Sequential.NullStatement._parent", false]], "_parent (pyvhdlmodel.sequential.otherscase attribute)": [[47, "pyVHDLModel.Sequential.OthersCase._parent", false]], "_parent (pyvhdlmodel.sequential.rangedchoice attribute)": [[47, "pyVHDLModel.Sequential.RangedChoice._parent", false]], "_parent (pyvhdlmodel.sequential.returnstatement attribute)": [[47, "pyVHDLModel.Sequential.ReturnStatement._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialassertstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialcase attribute)": [[47, "pyVHDLModel.Sequential.SequentialCase._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialchoice attribute)": [[47, "pyVHDLModel.Sequential.SequentialChoice._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialprocedurecall attribute)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialreportstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialsignalassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialsimplesignalassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialstatement attribute)": [[47, "pyVHDLModel.Sequential.SequentialStatement._parent", false]], "_parent (pyvhdlmodel.sequential.sequentialvariableassignment attribute)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment._parent", false]], "_parent (pyvhdlmodel.sequential.waitstatement attribute)": [[47, "pyVHDLModel.Sequential.WaitStatement._parent", false]], "_parent (pyvhdlmodel.sequential.whileloopstatement attribute)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement._parent", false]], "_parent (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._parent", false]], "_parent (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._parent", false]], "_parent (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._parent", false]], "_parent (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._parent", false]], "_parent (pyvhdlmodel.std.std attribute)": [[46, "pyVHDLModel.STD.Std._parent", false]], "_parent (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._parent", false]], "_parent (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._parent", false]], "_parent (pyvhdlmodel.subprogram.function attribute)": [[48, "pyVHDLModel.Subprogram.Function._parent", false]], "_parent (pyvhdlmodel.subprogram.functionmethod attribute)": [[48, "pyVHDLModel.Subprogram.FunctionMethod._parent", false]], "_parent (pyvhdlmodel.subprogram.procedure attribute)": [[48, "pyVHDLModel.Subprogram.Procedure._parent", false]], "_parent (pyvhdlmodel.subprogram.proceduremethod attribute)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod._parent", false]], "_parent (pyvhdlmodel.subprogram.subprogram attribute)": [[48, "pyVHDLModel.Subprogram.Subprogram._parent", false]], "_parent (pyvhdlmodel.type.accesstype attribute)": [[50, "pyVHDLModel.Type.AccessType._parent", false]], "_parent (pyvhdlmodel.type.anonymoustype attribute)": [[50, "pyVHDLModel.Type.AnonymousType._parent", false]], "_parent (pyvhdlmodel.type.arraytype attribute)": [[50, "pyVHDLModel.Type.ArrayType._parent", false]], "_parent (pyvhdlmodel.type.basetype attribute)": [[50, "pyVHDLModel.Type.BaseType._parent", false]], "_parent (pyvhdlmodel.type.compositetype attribute)": [[50, "pyVHDLModel.Type.CompositeType._parent", false]], "_parent (pyvhdlmodel.type.enumeratedtype attribute)": [[50, "pyVHDLModel.Type.EnumeratedType._parent", false]], "_parent (pyvhdlmodel.type.filetype attribute)": [[50, "pyVHDLModel.Type.FileType._parent", false]], "_parent (pyvhdlmodel.type.fulltype attribute)": [[50, "pyVHDLModel.Type.FullType._parent", false]], "_parent (pyvhdlmodel.type.integertype attribute)": [[50, "pyVHDLModel.Type.IntegerType._parent", false]], "_parent (pyvhdlmodel.type.physicaltype attribute)": [[50, "pyVHDLModel.Type.PhysicalType._parent", false]], "_parent (pyvhdlmodel.type.protectedtype attribute)": [[50, "pyVHDLModel.Type.ProtectedType._parent", false]], "_parent (pyvhdlmodel.type.protectedtypebody attribute)": [[50, "pyVHDLModel.Type.ProtectedTypeBody._parent", false]], "_parent (pyvhdlmodel.type.rangedscalartype attribute)": [[50, "pyVHDLModel.Type.RangedScalarType._parent", false]], "_parent (pyvhdlmodel.type.realtype attribute)": [[50, "pyVHDLModel.Type.RealType._parent", false]], "_parent (pyvhdlmodel.type.recordtype attribute)": [[50, "pyVHDLModel.Type.RecordType._parent", false]], "_parent (pyvhdlmodel.type.recordtypeelement attribute)": [[50, "pyVHDLModel.Type.RecordTypeElement._parent", false]], "_parent (pyvhdlmodel.type.scalartype attribute)": [[50, "pyVHDLModel.Type.ScalarType._parent", false]], "_parent (pyvhdlmodel.type.subtype attribute)": [[50, "pyVHDLModel.Type.Subtype._parent", false]], "_parent (pyvhdlmodel.type.type attribute)": [[50, "pyVHDLModel.Type.Type._parent", false]], "_path (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._path", false]], "_possiblereferences (pyvhdlmodel.symbol.allpackagemembersreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.architecturesymbol attribute)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.componentinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.configurationinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.constrainedarraysubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.constrainedcompositesubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.constrainedrecordsubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.constrainedscalarsubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.contextreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.entityinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.entitysymbol attribute)": [[49, "pyVHDLModel.Symbol.EntitySymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.indexedobjectorfunctioncallsymbol attribute)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.libraryreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.packagememberreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.packagereferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.packagesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.recordelementsymbol attribute)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.simpleobjectorfunctioncallsymbol attribute)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.simplesubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.subtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol._possibleReferences", false]], "_possiblereferences (pyvhdlmodel.symbol.symbol attribute)": [[49, "pyVHDLModel.Symbol.Symbol._possibleReferences", false]], "_procedures (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._procedures", false]], "_procedures (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._procedures", false]], "_procedures (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._procedures", false]], "_procedures (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._procedures", false]], "_procedures (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._procedures", false]], "_procedures (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._procedures", false]], "_procedures (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._procedures", false]], "_procedures (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._procedures", false]], "_procedures (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._procedures", false]], "_procedures (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._procedures", false]], "_procedures (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._procedures", false]], "_procedures (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._procedures", false]], "_procedures (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._procedures", false]], "_procedures (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._procedures", false]], "_procedures (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._procedures", false]], "_procedures (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._procedures", false]], "_procedures (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._procedures", false]], "_procedures (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._procedures", false]], "_procedures (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._procedures", false]], "_procedures (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._procedures", false]], "_procedures (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._procedures", false]], "_procedures (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._procedures", false]], "_procedures (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._procedures", false]], "_procedures (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._procedures", false]], "_procedures (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._procedures", false]], "_procedures (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._procedures", false]], "_procedures (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._procedures", false]], "_procedures (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._procedures", false]], "_procedures (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._procedures", false]], "_procedures (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._procedures", false]], "_procedures (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._procedures", false]], "_procedures (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._procedures", false]], "_procedures (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._procedures", false]], "_procedures (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._procedures", false]], "_procedures (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._procedures", false]], "_procedures (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._procedures", false]], "_procedures (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._procedures", false]], "_reference (pyvhdlmodel.symbol.allpackagemembersreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.architecturesymbol attribute)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.componentinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.configurationinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.constrainedarraysubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.constrainedcompositesubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.constrainedrecordsubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.constrainedscalarsubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.contextreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.entityinstantiationsymbol attribute)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.entitysymbol attribute)": [[49, "pyVHDLModel.Symbol.EntitySymbol._reference", false]], "_reference (pyvhdlmodel.symbol.indexedobjectorfunctioncallsymbol attribute)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.libraryreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.packagememberreferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.packagereferencesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.packagesymbol attribute)": [[49, "pyVHDLModel.Symbol.PackageSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.recordelementsymbol attribute)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.simpleobjectorfunctioncallsymbol attribute)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.simplesubtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.subtypesymbol attribute)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol._reference", false]], "_reference (pyvhdlmodel.symbol.symbol attribute)": [[49, "pyVHDLModel.Symbol.Symbol._reference", false]], "_referencedcontexts (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._referencedContexts", false]], "_referencedcontexts (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._referencedContexts", false]], "_referencedlibraries (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._referencedLibraries", false]], "_referencedlibraries (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._referencedLibraries", false]], "_referencedpackages (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.designunit.configuration attribute)": [[34, "pyVHDLModel.DesignUnit.Configuration._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.designunit.context attribute)": [[34, "pyVHDLModel.DesignUnit.Context._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.designunit.designunit attribute)": [[34, "pyVHDLModel.DesignUnit.DesignUnit._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.designunit.primaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.designunit.secondaryunit attribute)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.instantiation.packageinstantiation attribute)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.pslmodel.pslprimaryunit attribute)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.pslmodel.verificationmode attribute)": [[43, "pyVHDLModel.PSLModel.VerificationMode._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.pslmodel.verificationproperty attribute)": [[43, "pyVHDLModel.PSLModel.VerificationProperty._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.pslmodel.verificationunit attribute)": [[43, "pyVHDLModel.PSLModel.VerificationUnit._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._referencedPackages", false]], "_referencedpackages (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._referencedPackages", false]], "_sharedvariables (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._sharedVariables", false]], "_sharedvariables (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._sharedVariables", false]], "_signals (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._signals", false]], "_signals (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._signals", false]], "_signals (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._signals", false]], "_signals (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._signals", false]], "_signals (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._signals", false]], "_signals (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._signals", false]], "_signals (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._signals", false]], "_signals (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._signals", false]], "_signals (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._signals", false]], "_signals (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._signals", false]], "_signals (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._signals", false]], "_signals (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._signals", false]], "_signals (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._signals", false]], "_signals (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._signals", false]], "_signals (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._signals", false]], "_signals (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._signals", false]], "_signals (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._signals", false]], "_signals (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._signals", false]], "_signals (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._signals", false]], "_signals (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._signals", false]], "_signals (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._signals", false]], "_signals (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._signals", false]], "_signals (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._signals", false]], "_signals (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._signals", false]], "_signals (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._signals", false]], "_signals (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._signals", false]], "_signals (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._signals", false]], "_signals (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._signals", false]], "_signals (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._signals", false]], "_signals (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._signals", false]], "_signals (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._signals", false]], "_signals (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._signals", false]], "_signals (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._signals", false]], "_signals (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._signals", false]], "_signals (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._signals", false]], "_signals (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._signals", false]], "_signals (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._signals", false]], "_signals (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._signals", false]], "_signals (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._signals", false]], "_signals (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._signals", false]], "_signals (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._signals", false]], "_signals (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._signals", false]], "_signals (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._signals", false]], "_signals (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._signals", false]], "_signals (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._signals", false]], "_signals (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._signals", false]], "_subtypes (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._subtypes", false]], "_subtypes (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._subtypes", false]], "_subtypes (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._subtypes", false]], "_subtypes (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._subtypes", false]], "_subtypes (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._subtypes", false]], "_subtypes (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._subtypes", false]], "_subtypes (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._subtypes", false]], "_subtypes (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._subtypes", false]], "_subtypes (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._subtypes", false]], "_subtypes (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._subtypes", false]], "_subtypes (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._subtypes", false]], "_subtypes (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._subtypes", false]], "_subtypes (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._subtypes", false]], "_subtypes (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._subtypes", false]], "_subtypes (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._subtypes", false]], "_subtypes (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._subtypes", false]], "_subtypes (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._subtypes", false]], "_subtypes (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._subtypes", false]], "_subtypes (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._subtypes", false]], "_subtypes (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._subtypes", false]], "_subtypes (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._subtypes", false]], "_subtypes (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._subtypes", false]], "_subtypes (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._subtypes", false]], "_toplevel (pyvhdlmodel.design attribute)": [[28, "pyVHDLModel.Design._toplevel", false]], "_types (pyvhdlmodel.concurrent.concurrentblockstatement attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement._types", false]], "_types (pyvhdlmodel.concurrent.concurrentcase attribute)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase._types", false]], "_types (pyvhdlmodel.concurrent.elsegeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch._types", false]], "_types (pyvhdlmodel.concurrent.elsifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch._types", false]], "_types (pyvhdlmodel.concurrent.forgeneratestatement attribute)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement._types", false]], "_types (pyvhdlmodel.concurrent.generatebranch attribute)": [[32, "pyVHDLModel.Concurrent.GenerateBranch._types", false]], "_types (pyvhdlmodel.concurrent.generatecase attribute)": [[32, "pyVHDLModel.Concurrent.GenerateCase._types", false]], "_types (pyvhdlmodel.concurrent.ifgeneratebranch attribute)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch._types", false]], "_types (pyvhdlmodel.concurrent.othersgeneratecase attribute)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase._types", false]], "_types (pyvhdlmodel.designunit.architecture attribute)": [[34, "pyVHDLModel.DesignUnit.Architecture._types", false]], "_types (pyvhdlmodel.designunit.entity attribute)": [[34, "pyVHDLModel.DesignUnit.Entity._types", false]], "_types (pyvhdlmodel.designunit.package attribute)": [[34, "pyVHDLModel.DesignUnit.Package._types", false]], "_types (pyvhdlmodel.designunit.packagebody attribute)": [[34, "pyVHDLModel.DesignUnit.PackageBody._types", false]], "_types (pyvhdlmodel.ieee.fixed_float_types attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types._types", false]], "_types (pyvhdlmodel.ieee.fixed_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg._types", false]], "_types (pyvhdlmodel.ieee.fixed_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body._types", false]], "_types (pyvhdlmodel.ieee.fixed_pkg attribute)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg._types", false]], "_types (pyvhdlmodel.ieee.float_generic_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg._types", false]], "_types (pyvhdlmodel.ieee.float_generic_pkg_body attribute)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body._types", false]], "_types (pyvhdlmodel.ieee.float_pkg attribute)": [[37, "pyVHDLModel.IEEE.Float_Pkg._types", false]], "_types (pyvhdlmodel.ieee.math_complex attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex._types", false]], "_types (pyvhdlmodel.ieee.math_complex_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body._types", false]], "_types (pyvhdlmodel.ieee.math_real attribute)": [[37, "pyVHDLModel.IEEE.Math_Real._types", false]], "_types (pyvhdlmodel.ieee.math_real_body attribute)": [[37, "pyVHDLModel.IEEE.Math_Real_Body._types", false]], "_types (pyvhdlmodel.ieee.numeric_bit attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit._types", false]], "_types (pyvhdlmodel.ieee.numeric_bit_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body._types", false]], "_types (pyvhdlmodel.ieee.numeric_bit_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned._types", false]], "_types (pyvhdlmodel.ieee.numeric_bit_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body._types", false]], "_types (pyvhdlmodel.ieee.numeric_std attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std._types", false]], "_types (pyvhdlmodel.ieee.numeric_std_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body._types", false]], "_types (pyvhdlmodel.ieee.numeric_std_unsigned attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned._types", false]], "_types (pyvhdlmodel.ieee.numeric_std_unsigned_body attribute)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body._types", false]], "_types (pyvhdlmodel.ieee.std_logic_1164 attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164._types", false]], "_types (pyvhdlmodel.ieee.std_logic_1164_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body._types", false]], "_types (pyvhdlmodel.ieee.std_logic_misc attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc._types", false]], "_types (pyvhdlmodel.ieee.std_logic_misc_body attribute)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body._types", false]], "_types (pyvhdlmodel.ieee.std_logic_textio attribute)": [[37, "pyVHDLModel.IEEE.std_logic_textio._types", false]], "_types (pyvhdlmodel.predefined.predefinedpackage attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackage._types", false]], "_types (pyvhdlmodel.predefined.predefinedpackagebody attribute)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody._types", false]], "_types (pyvhdlmodel.regions.concurrentdeclarationregionmixin attribute)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin._types", false]], "_types (pyvhdlmodel.std.env attribute)": [[46, "pyVHDLModel.STD.Env._types", false]], "_types (pyvhdlmodel.std.env_body attribute)": [[46, "pyVHDLModel.STD.Env_Body._types", false]], "_types (pyvhdlmodel.std.standard attribute)": [[46, "pyVHDLModel.STD.Standard._types", false]], "_types (pyvhdlmodel.std.standard_body attribute)": [[46, "pyVHDLModel.STD.Standard_Body._types", false]], "_types (pyvhdlmodel.std.textio attribute)": [[46, "pyVHDLModel.STD.TextIO._types", false]], "_types (pyvhdlmodel.std.textio_body attribute)": [[46, "pyVHDLModel.STD.TextIO_Body._types", false]], "_verificationmodes (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._verificationModes", false]], "_verificationproperties (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._verificationProperties", false]], "_verificationunits (pyvhdlmodel.document attribute)": [[28, "pyVHDLModel.Document._verificationUnits", false]], "absoluteexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.AbsoluteExpression", false]], "accesstype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.AccessType", false]], "accesstype (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.AccessType", false]], "adddocument() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.AddDocument", false]], "addingexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.AddingExpression", false]], "additionexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.AdditionExpression", false]], "addlibrary() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.AddLibrary", false]], "aggregate (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.Aggregate", false]], "aggregateelement (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.AggregateElement", false]], "alias (class in pyvhdlmodel.declaration)": [[33, "pyVHDLModel.Declaration.Alias", false]], "all (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.All", false]], "allname (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.AllName", false]], "allocation (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.Allocation", false]], "allpackagemembersreferencesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol", false]], "ams2017 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.AMS2017", false]], "ams93 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.AMS93", false]], "ams99 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.AMS99", false]], "analyze() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.Analyze", false]], "analyzedependencies() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.AnalyzeDependencies", false]], "analyzeobjects() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.AnalyzeObjects", false]], "andexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.AndExpression", false]], "anonymoustype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.AnonymousType", false]], "any (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.Any", false]], "anytype (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.AnyType", false]], "architecture (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.Architecture", false]], "architecture (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Architecture", false]], "architecture (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.Architecture", false]], "architecture (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.Architecture", false]], "architecture (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Architecture", false]], "architectureexistsinlibraryerror": [[35, "pyVHDLModel.Exception.ArchitectureExistsInLibraryError", false]], "architectures (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.Architectures", false]], "architectures (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.Architectures", false]], "architectures (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.Architectures", false]], "architectures (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.Architectures", false]], "architectures (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.Architectures", false]], "architecturesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol", false]], "arraytype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.ArrayType", false]], "arraytype (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.ArrayType", false]], "ascendingrangeexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.AscendingRangeExpression", false]], "assertstatementmixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.AssertStatementMixin", false]], "assignmentmixin (class in pyvhdlmodel.common)": [[31, "pyVHDLModel.Common.AssignmentMixin", false]], "associationitem (class in pyvhdlmodel.association)": [[29, "pyVHDLModel.Association.AssociationItem", false]], "attribute (class in pyvhdlmodel.declaration)": [[33, "pyVHDLModel.Declaration.Attribute", false]], "attribute (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Attribute", false]], "attributename (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.AttributeName", false]], "attributespecification (class in pyvhdlmodel.declaration)": [[33, "pyVHDLModel.Declaration.AttributeSpecification", false]], "basecase (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.BaseCase", false]], "basechoice (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.BaseChoice", false]], "baseconstant (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.BaseConstant", false]], "baseexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.BaseExpression", false]], "basetype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.BaseType", false]], "binaryexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.BinaryExpression", false]], "bitstringliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.BitStringLiteral", false]], "branch (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.Branch", false]], "branchmixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.BranchMixin", false]], "buffer (pyvhdlmodel.base.mode attribute)": [[30, "pyVHDLModel.Base.Mode.Buffer", false]], "case (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.Case", false]], "casegeneratestatement (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement", false]], "casestatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.CaseStatement", false]], "characterliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.CharacterLiteral", false]], "compileordergraph (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.CompileOrderGraph", false]], "compileordervertex (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.CompileOrderVertex", false]], "component (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.Component", false]], "component (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Component", false]], "component (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.Component", false]], "component (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Component", false]], "componentinstantiation (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation", false]], "componentinstantiationsymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol", false]], "compositetype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.CompositeType", false]], "compoundstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.CompoundStatement", false]], "concatenationexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ConcatenationExpression", false]], "concurrentassertstatement (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement", false]], "concurrentblockstatement (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement", false]], "concurrentcase (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase", false]], "concurrentchoice (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentChoice", false]], "concurrentconditionalsignalassignment (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment", false]], "concurrentdeclarationregionmixin (class in pyvhdlmodel.regions)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin", false]], "concurrentprocedurecall (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall", false]], "concurrentselectedsignalassignment (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment", false]], "concurrentsignalassignment (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment", false]], "concurrentsimplesignalassignment (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment", false]], "concurrentstatement (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement", false]], "concurrentstatementsmixin (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatementsMixin", false]], "condition (pyvhdlmodel.base.assertstatementmixin property)": [[30, "pyVHDLModel.Base.AssertStatementMixin.Condition", false]], "condition (pyvhdlmodel.base.conditionalbranchmixin property)": [[30, "pyVHDLModel.Base.ConditionalBranchMixin.Condition", false]], "condition (pyvhdlmodel.base.conditionalmixin property)": [[30, "pyVHDLModel.Base.ConditionalMixin.Condition", false]], "condition (pyvhdlmodel.base.elsifbranchmixin property)": [[30, "pyVHDLModel.Base.ElsifBranchMixin.Condition", false]], "condition (pyvhdlmodel.base.ifbranchmixin property)": [[30, "pyVHDLModel.Base.IfBranchMixin.Condition", false]], "condition (pyvhdlmodel.concurrent.concurrentassertstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement.Condition", false]], "condition (pyvhdlmodel.concurrent.elsifgeneratebranch property)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch.Condition", false]], "condition (pyvhdlmodel.concurrent.ifgeneratebranch property)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch.Condition", false]], "condition (pyvhdlmodel.sequential.elsifbranch property)": [[47, "pyVHDLModel.Sequential.ElsifBranch.Condition", false]], "condition (pyvhdlmodel.sequential.exitstatement property)": [[47, "pyVHDLModel.Sequential.ExitStatement.Condition", false]], "condition (pyvhdlmodel.sequential.ifbranch property)": [[47, "pyVHDLModel.Sequential.IfBranch.Condition", false]], "condition (pyvhdlmodel.sequential.loopcontrolstatement property)": [[47, "pyVHDLModel.Sequential.LoopControlStatement.Condition", false]], "condition (pyvhdlmodel.sequential.nextstatement property)": [[47, "pyVHDLModel.Sequential.NextStatement.Condition", false]], "condition (pyvhdlmodel.sequential.returnstatement property)": [[47, "pyVHDLModel.Sequential.ReturnStatement.Condition", false]], "condition (pyvhdlmodel.sequential.sequentialassertstatement property)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement.Condition", false]], "condition (pyvhdlmodel.sequential.waitstatement property)": [[47, "pyVHDLModel.Sequential.WaitStatement.Condition", false]], "condition (pyvhdlmodel.sequential.whileloopstatement property)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement.Condition", false]], "conditionalbranchmixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.ConditionalBranchMixin", false]], "conditionalmixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.ConditionalMixin", false]], "configuration (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.Configuration", false]], "configuration (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Configuration", false]], "configuration (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.Configuration", false]], "configuration (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.Configuration", false]], "configuration (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Configuration", false]], "configurationexistsinlibraryerror": [[35, "pyVHDLModel.Exception.ConfigurationExistsInLibraryError", false]], "configurationinstantiation (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation", false]], "configurationinstantiationsymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol", false]], "configurations (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.Configurations", false]], "configurations (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.Configurations", false]], "configurations (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.Configurations", false]], "configurations (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.Configurations", false]], "configurations (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.Configurations", false]], "constant (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.Constant", false]], "constant (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Constant", false]], "constant (pyvhdlmodel.objectclass attribute)": [[28, "pyVHDLModel.ObjectClass.Constant", false]], "constant (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Constant", false]], "constrainedarraysubtypesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol", false]], "constrainedcompositesubtypesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol", false]], "constrainedrecordsubtypesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol", false]], "constrainedscalarsubtypesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol", false]], "context (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.Context", false]], "context (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.Context", false]], "context (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.Context", false]], "context (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Context", false]], "contextexistsinlibraryerror": [[35, "pyVHDLModel.Exception.ContextExistsInLibraryError", false]], "contextitems (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.ContextItems", false]], "contextitems (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.ContextItems", false]], "contextitems (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.ContextItems", false]], "contextitems (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.ContextItems", false]], "contextitems (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.ContextItems", false]], "contextitems (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.ContextItems", false]], "contextitems (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.ContextItems", false]], "contextitems (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.ContextItems", false]], "contextitems (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.ContextItems", false]], "contextitems (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.ContextItems", false]], "contextitems (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.ContextItems", false]], "contextitems (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.ContextItems", false]], "contextitems (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.ContextItems", false]], "contextitems (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.ContextItems", false]], "contextitems (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.ContextItems", false]], "contextitems (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.ContextItems", false]], "contextitems (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.ContextItems", false]], "contextitems (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.ContextItems", false]], "contextitems (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.ContextItems", false]], "contextitems (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.ContextItems", false]], "contextitems (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.ContextItems", false]], "contextitems (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.ContextItems", false]], "contextitems (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.ContextItems", false]], "contextreference (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.ContextReference", false]], "contextreferences (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.ContextReferences", false]], "contextreferences (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.ContextReferences", false]], "contextreferences (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.ContextReferences", false]], "contextreferences (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.ContextReferences", false]], "contextreferences (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.ContextReferences", false]], "contextreferences (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.ContextReferences", false]], "contextreferences (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.ContextReferences", false]], "contextreferences (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.ContextReferences", false]], "contextreferences (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.ContextReferences", false]], "contextreferences (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.ContextReferences", false]], "contextreferences (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.ContextReferences", false]], "contextreferences (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.ContextReferences", false]], "contextreferences (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.ContextReferences", false]], "contextreferences (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.ContextReferences", false]], "contextreferences (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.ContextReferences", false]], "contextreferences (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.ContextReferences", false]], "contextreferences (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.ContextReferences", false]], "contextreferences (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.ContextReferences", false]], "contextreferences (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.ContextReferences", false]], "contextreferences (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.ContextReferences", false]], "contextreferences (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.ContextReferences", false]], "contextreferencesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol", false]], "contexts (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.Contexts", false]], "contexts (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.Contexts", false]], "contexts (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.Contexts", false]], "contexts (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.Contexts", false]], "contexts (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.Contexts", false]], "createcompileordergraph() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.CreateCompileOrderGraph", false]], "createdependencygraph() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.CreateDependencyGraph", false]], "createhierarchygraph() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.CreateHierarchyGraph", false]], "default (pyvhdlmodel.base.mode attribute)": [[30, "pyVHDLModel.Base.Mode.Default", false]], "default (pyvhdlmodel.objectclass attribute)": [[28, "pyVHDLModel.ObjectClass.Default", false]], "defaultclock (class in pyvhdlmodel.pslmodel)": [[43, "pyVHDLModel.PSLModel.DefaultClock", false]], "deferredconstant (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.DeferredConstant", false]], "dependencygraph (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.DependencyGraph", false]], "dependencygraphedgekind (class in pyvhdlmodel)": [[28, "pyVHDLModel.DependencyGraphEdgeKind", false]], "dependencygraphvertexkind (class in pyvhdlmodel)": [[28, "pyVHDLModel.DependencyGraphVertexKind", false]], "dependencyvertex (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.DependencyVertex", false]], "dependencyvertex (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.DependencyVertex", false]], "descendingrangeexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.DescendingRangeExpression", false]], "design (class in pyvhdlmodel)": [[28, "pyVHDLModel.Design", false]], "designunit (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.DesignUnit", false]], "designunitkind (class in pyvhdlmodel)": [[28, "pyVHDLModel.DesignUnitKind", false]], "designunits (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.DesignUnits", false]], "designunitwithcontextmixin (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.DesignUnitWithContextMixin", false]], "direction (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.Direction", false]], "discretetypemixin (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.DiscreteTypeMixin", false]], "divisionexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.DivisionExpression", false]], "document (class in pyvhdlmodel)": [[28, "pyVHDLModel.Document", false]], "document (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.Document", false]], "documentation (pyvhdlmodel.base.documentedentitymixin property)": [[30, "pyVHDLModel.Base.DocumentedEntityMixin.Documentation", false]], "documentation (pyvhdlmodel.concurrent.concurrentblockstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement.Documentation", false]], "documentation (pyvhdlmodel.concurrent.processstatement property)": [[32, "pyVHDLModel.Concurrent.ProcessStatement.Documentation", false]], "documentation (pyvhdlmodel.declaration.alias property)": [[33, "pyVHDLModel.Declaration.Alias.Documentation", false]], "documentation (pyvhdlmodel.declaration.attribute property)": [[33, "pyVHDLModel.Declaration.Attribute.Documentation", false]], "documentation (pyvhdlmodel.declaration.attributespecification property)": [[33, "pyVHDLModel.Declaration.AttributeSpecification.Documentation", false]], "documentation (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.Documentation", false]], "documentation (pyvhdlmodel.designunit.component property)": [[34, "pyVHDLModel.DesignUnit.Component.Documentation", false]], "documentation (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.Documentation", false]], "documentation (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.Documentation", false]], "documentation (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.Documentation", false]], "documentation (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.Documentation", false]], "documentation (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.Documentation", false]], "documentation (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.Documentation", false]], "documentation (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.Documentation", false]], "documentation (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.Documentation", false]], "documentation (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.Documentation", false]], "documentation (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.Documentation", false]], "documentation (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.Documentation", false]], "documentation (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.Documentation", false]], "documentation (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.Documentation", false]], "documentation (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.Documentation", false]], "documentation (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.Documentation", false]], "documentation (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.Documentation", false]], "documentation (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.Documentation", false]], "documentation (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.Documentation", false]], "documentation (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.Documentation", false]], "documentation (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.Documentation", false]], "documentation (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.Documentation", false]], "documentation (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.Documentation", false]], "documentation (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.Documentation", false]], "documentation (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.Documentation", false]], "documentation (pyvhdlmodel.instantiation.functioninstantiation property)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation.Documentation", false]], "documentation (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.Documentation", false]], "documentation (pyvhdlmodel.instantiation.procedureinstantiation property)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation.Documentation", false]], "documentation (pyvhdlmodel.interface.genericconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.genericfunctioninterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.genericinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.GenericInterfaceItemMixin.Documentation", false]], "documentation (pyvhdlmodel.interface.genericpackageinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericPackageInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.genericprocedureinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.genericsubprograminterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericSubprogramInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.generictypeinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.interfaceitemmixin property)": [[39, "pyVHDLModel.Interface.InterfaceItemMixin.Documentation", false]], "documentation (pyvhdlmodel.interface.parameterconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.parameterfileinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.parameterinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.ParameterInterfaceItemMixin.Documentation", false]], "documentation (pyvhdlmodel.interface.parametersignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.parametervariableinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.interface.portinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.PortInterfaceItemMixin.Documentation", false]], "documentation (pyvhdlmodel.interface.portsignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem.Documentation", false]], "documentation (pyvhdlmodel.object.baseconstant property)": [[42, "pyVHDLModel.Object.BaseConstant.Documentation", false]], "documentation (pyvhdlmodel.object.constant property)": [[42, "pyVHDLModel.Object.Constant.Documentation", false]], "documentation (pyvhdlmodel.object.deferredconstant property)": [[42, "pyVHDLModel.Object.DeferredConstant.Documentation", false]], "documentation (pyvhdlmodel.object.file property)": [[42, "pyVHDLModel.Object.File.Documentation", false]], "documentation (pyvhdlmodel.object.obj property)": [[42, "pyVHDLModel.Object.Obj.Documentation", false]], "documentation (pyvhdlmodel.object.sharedvariable property)": [[42, "pyVHDLModel.Object.SharedVariable.Documentation", false]], "documentation (pyvhdlmodel.object.signal property)": [[42, "pyVHDLModel.Object.Signal.Documentation", false]], "documentation (pyvhdlmodel.object.variable property)": [[42, "pyVHDLModel.Object.Variable.Documentation", false]], "documentation (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.Documentation", false]], "documentation (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.Documentation", false]], "documentation (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.Documentation", false]], "documentation (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.Documentation", false]], "documentation (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.Documentation", false]], "documentation (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.Documentation", false]], "documentation (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.Documentation", false]], "documentation (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.Documentation", false]], "documentation (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.Documentation", false]], "documentation (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.Documentation", false]], "documentation (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.Documentation", false]], "documentation (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.Documentation", false]], "documentation (pyvhdlmodel.subprogram.function property)": [[48, "pyVHDLModel.Subprogram.Function.Documentation", false]], "documentation (pyvhdlmodel.subprogram.functionmethod property)": [[48, "pyVHDLModel.Subprogram.FunctionMethod.Documentation", false]], "documentation (pyvhdlmodel.subprogram.procedure property)": [[48, "pyVHDLModel.Subprogram.Procedure.Documentation", false]], "documentation (pyvhdlmodel.subprogram.proceduremethod property)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod.Documentation", false]], "documentation (pyvhdlmodel.subprogram.subprogram property)": [[48, "pyVHDLModel.Subprogram.Subprogram.Documentation", false]], "documentation (pyvhdlmodel.type.accesstype property)": [[50, "pyVHDLModel.Type.AccessType.Documentation", false]], "documentation (pyvhdlmodel.type.anonymoustype property)": [[50, "pyVHDLModel.Type.AnonymousType.Documentation", false]], "documentation (pyvhdlmodel.type.arraytype property)": [[50, "pyVHDLModel.Type.ArrayType.Documentation", false]], "documentation (pyvhdlmodel.type.basetype property)": [[50, "pyVHDLModel.Type.BaseType.Documentation", false]], "documentation (pyvhdlmodel.type.compositetype property)": [[50, "pyVHDLModel.Type.CompositeType.Documentation", false]], "documentation (pyvhdlmodel.type.enumeratedtype property)": [[50, "pyVHDLModel.Type.EnumeratedType.Documentation", false]], "documentation (pyvhdlmodel.type.filetype property)": [[50, "pyVHDLModel.Type.FileType.Documentation", false]], "documentation (pyvhdlmodel.type.fulltype property)": [[50, "pyVHDLModel.Type.FullType.Documentation", false]], "documentation (pyvhdlmodel.type.integertype property)": [[50, "pyVHDLModel.Type.IntegerType.Documentation", false]], "documentation (pyvhdlmodel.type.physicaltype property)": [[50, "pyVHDLModel.Type.PhysicalType.Documentation", false]], "documentation (pyvhdlmodel.type.protectedtype property)": [[50, "pyVHDLModel.Type.ProtectedType.Documentation", false]], "documentation (pyvhdlmodel.type.protectedtypebody property)": [[50, "pyVHDLModel.Type.ProtectedTypeBody.Documentation", false]], "documentation (pyvhdlmodel.type.rangedscalartype property)": [[50, "pyVHDLModel.Type.RangedScalarType.Documentation", false]], "documentation (pyvhdlmodel.type.realtype property)": [[50, "pyVHDLModel.Type.RealType.Documentation", false]], "documentation (pyvhdlmodel.type.recordtype property)": [[50, "pyVHDLModel.Type.RecordType.Documentation", false]], "documentation (pyvhdlmodel.type.scalartype property)": [[50, "pyVHDLModel.Type.ScalarType.Documentation", false]], "documentation (pyvhdlmodel.type.subtype property)": [[50, "pyVHDLModel.Type.Subtype.Documentation", false]], "documentation (pyvhdlmodel.type.type property)": [[50, "pyVHDLModel.Type.Type.Documentation", false]], "documentedentitymixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.DocumentedEntityMixin", false]], "documents (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.Documents", false]], "downto (pyvhdlmodel.base.direction attribute)": [[30, "pyVHDLModel.Base.Direction.DownTo", false]], "elsebranch (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.ElseBranch", false]], "elsebranch (pyvhdlmodel.sequential.ifstatement property)": [[47, "pyVHDLModel.Sequential.IfStatement.ElseBranch", false]], "elsebranchmixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.ElseBranchMixin", false]], "elsegeneratebranch (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch", false]], "elsifbranch (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.ElsifBranch", false]], "elsifbranches (pyvhdlmodel.sequential.ifstatement property)": [[47, "pyVHDLModel.Sequential.IfStatement.ElsIfBranches", false]], "elsifbranchmixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.ElsifBranchMixin", false]], "elsifgeneratebranch (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch", false]], "endlessloopstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement", false]], "entities (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.Entities", false]], "entities (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.Entities", false]], "entities (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.Entities", false]], "entities (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.Entities", false]], "entities (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.Entities", false]], "entity (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.Entity", false]], "entity (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Entity", false]], "entity (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.Entity", false]], "entity (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.Entity", false]], "entity (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Entity", false]], "entityclass (class in pyvhdlmodel.declaration)": [[33, "pyVHDLModel.Declaration.EntityClass", false]], "entityexistsinlibraryerror": [[35, "pyVHDLModel.Exception.EntityExistsInLibraryError", false]], "entityinstantiation (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation", false]], "entityinstantiationsymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol", false]], "entitysymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.EntitySymbol", false]], "enumeratedtype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.EnumeratedType", false]], "enumerationliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.EnumerationLiteral", false]], "enumliteral (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.EnumLiteral", false]], "env (class in pyvhdlmodel.std)": [[46, "pyVHDLModel.STD.Env", false]], "env_body (class in pyvhdlmodel.std)": [[46, "pyVHDLModel.STD.Env_Body", false]], "environment variable": [[9, "index-0", false]], "equalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.EqualExpression", false]], "exitstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.ExitStatement", false]], "exponentiationexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ExponentiationExpression", false]], "expressionunion (in module pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.ExpressionUnion", false]], "file (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.File", false]], "file (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.File", false]], "file (pyvhdlmodel.objectclass attribute)": [[28, "pyVHDLModel.ObjectClass.File", false]], "file (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.File", false]], "filetype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.FileType", false]], "filetype (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.FileType", false]], "fixed_float_types (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types", false]], "fixed_generic_pkg (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg", false]], "fixed_generic_pkg_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body", false]], "fixed_pkg (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg", false]], "float_generic_pkg (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg", false]], "float_generic_pkg_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body", false]], "float_pkg (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Float_Pkg", false]], "floatingpointliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.FloatingPointLiteral", false]], "forgeneratestatement (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement", false]], "forloopstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.ForLoopStatement", false]], "fulltype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.FullType", false]], "function (class in pyvhdlmodel.subprogram)": [[48, "pyVHDLModel.Subprogram.Function", false]], "function (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Function", false]], "function (pyvhdlmodel.objectclass attribute)": [[28, "pyVHDLModel.ObjectClass.Function", false]], "function (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Function", false]], "functioncall (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.FunctionCall", false]], "functioninstantiation (class in pyvhdlmodel.instantiation)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation", false]], "functionmethod (class in pyvhdlmodel.subprogram)": [[48, "pyVHDLModel.Subprogram.FunctionMethod", false]], "generatebranch (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.GenerateBranch", false]], "generatecase (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.GenerateCase", false]], "generatestatement (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.GenerateStatement", false]], "genericassociationitem (class in pyvhdlmodel.association)": [[29, "pyVHDLModel.Association.GenericAssociationItem", false]], "genericconstantinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem", false]], "genericentityinstantiationmixin (class in pyvhdlmodel.instantiation)": [[38, "pyVHDLModel.Instantiation.GenericEntityInstantiationMixin", false]], "genericfunctioninterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem", false]], "genericinstantiationmixin (class in pyvhdlmodel.instantiation)": [[38, "pyVHDLModel.Instantiation.GenericInstantiationMixin", false]], "genericinterfaceitemmixin (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.GenericInterfaceItemMixin", false]], "genericpackageinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.GenericPackageInterfaceItem", false]], "genericprocedureinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem", false]], "genericsubprograminterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.GenericSubprogramInterfaceItem", false]], "generictypeinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem", false]], "getlibrary() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.GetLibrary", false]], "ghdl_prefix=c:\\tools\\ghdl\\3.0.0-dev\\lib\\ghdl": [[9, "index-0", false]], "greaterequalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.GreaterEqualExpression", false]], "greaterthanexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.GreaterThanExpression", false]], "group (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Group", false]], "hasclassattributes (pyvhdlmodel.association.associationitem property)": [[29, "pyVHDLModel.Association.AssociationItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.association.genericassociationitem property)": [[29, "pyVHDLModel.Association.GenericAssociationItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.association.parameterassociationitem property)": [[29, "pyVHDLModel.Association.ParameterAssociationItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.association.portassociationitem property)": [[29, "pyVHDLModel.Association.PortAssociationItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.assertstatementmixin property)": [[30, "pyVHDLModel.Base.AssertStatementMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.basecase property)": [[30, "pyVHDLModel.Base.BaseCase.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.basechoice property)": [[30, "pyVHDLModel.Base.BaseChoice.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.branchmixin property)": [[30, "pyVHDLModel.Base.BranchMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.conditionalbranchmixin property)": [[30, "pyVHDLModel.Base.ConditionalBranchMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.conditionalmixin property)": [[30, "pyVHDLModel.Base.ConditionalMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.documentedentitymixin property)": [[30, "pyVHDLModel.Base.DocumentedEntityMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.elsebranchmixin property)": [[30, "pyVHDLModel.Base.ElseBranchMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.elsifbranchmixin property)": [[30, "pyVHDLModel.Base.ElsifBranchMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.ifbranchmixin property)": [[30, "pyVHDLModel.Base.IfBranchMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.labeledentitymixin property)": [[30, "pyVHDLModel.Base.LabeledEntityMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.modelentity property)": [[30, "pyVHDLModel.Base.ModelEntity.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.multiplenamedentitymixin property)": [[30, "pyVHDLModel.Base.MultipleNamedEntityMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.namedentitymixin property)": [[30, "pyVHDLModel.Base.NamedEntityMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.range property)": [[30, "pyVHDLModel.Base.Range.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.reportstatementmixin property)": [[30, "pyVHDLModel.Base.ReportStatementMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.base.waveformelement property)": [[30, "pyVHDLModel.Base.WaveformElement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.common.assignmentmixin property)": [[31, "pyVHDLModel.Common.AssignmentMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.common.procedurecallmixin property)": [[31, "pyVHDLModel.Common.ProcedureCallMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.common.signalassignmentmixin property)": [[31, "pyVHDLModel.Common.SignalAssignmentMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.common.statement property)": [[31, "pyVHDLModel.Common.Statement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.common.variableassignmentmixin property)": [[31, "pyVHDLModel.Common.VariableAssignmentMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.casegeneratestatement property)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.componentinstantiation property)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentassertstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentblockstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentcase property)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentchoice property)": [[32, "pyVHDLModel.Concurrent.ConcurrentChoice.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentprocedurecall property)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentselectedsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentsimplesignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.concurrentstatementsmixin property)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatementsMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.configurationinstantiation property)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.elsegeneratebranch property)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.elsifgeneratebranch property)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.entityinstantiation property)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.forgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.generatebranch property)": [[32, "pyVHDLModel.Concurrent.GenerateBranch.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.generatecase property)": [[32, "pyVHDLModel.Concurrent.GenerateCase.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.generatestatement property)": [[32, "pyVHDLModel.Concurrent.GenerateStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.ifgeneratebranch property)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.ifgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.indexedgeneratechoice property)": [[32, "pyVHDLModel.Concurrent.IndexedGenerateChoice.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.instantiation property)": [[32, "pyVHDLModel.Concurrent.Instantiation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.othersgeneratecase property)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.processstatement property)": [[32, "pyVHDLModel.Concurrent.ProcessStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.concurrent.rangedgeneratechoice property)": [[32, "pyVHDLModel.Concurrent.RangedGenerateChoice.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.declaration.alias property)": [[33, "pyVHDLModel.Declaration.Alias.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.declaration.attribute property)": [[33, "pyVHDLModel.Declaration.Attribute.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.declaration.attributespecification property)": [[33, "pyVHDLModel.Declaration.AttributeSpecification.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.component property)": [[34, "pyVHDLModel.DesignUnit.Component.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.contextreference property)": [[34, "pyVHDLModel.DesignUnit.ContextReference.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.designunitwithcontextmixin property)": [[34, "pyVHDLModel.DesignUnit.DesignUnitWithContextMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.libraryclause property)": [[34, "pyVHDLModel.DesignUnit.LibraryClause.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.reference property)": [[34, "pyVHDLModel.DesignUnit.Reference.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.designunit.useclause property)": [[34, "pyVHDLModel.DesignUnit.UseClause.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.absoluteexpression property)": [[36, "pyVHDLModel.Expression.AbsoluteExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.addingexpression property)": [[36, "pyVHDLModel.Expression.AddingExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.additionexpression property)": [[36, "pyVHDLModel.Expression.AdditionExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.aggregate property)": [[36, "pyVHDLModel.Expression.Aggregate.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.aggregateelement property)": [[36, "pyVHDLModel.Expression.AggregateElement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.allocation property)": [[36, "pyVHDLModel.Expression.Allocation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.andexpression property)": [[36, "pyVHDLModel.Expression.AndExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.ascendingrangeexpression property)": [[36, "pyVHDLModel.Expression.AscendingRangeExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.baseexpression property)": [[36, "pyVHDLModel.Expression.BaseExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.binaryexpression property)": [[36, "pyVHDLModel.Expression.BinaryExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.bitstringliteral property)": [[36, "pyVHDLModel.Expression.BitStringLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.characterliteral property)": [[36, "pyVHDLModel.Expression.CharacterLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.concatenationexpression property)": [[36, "pyVHDLModel.Expression.ConcatenationExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.descendingrangeexpression property)": [[36, "pyVHDLModel.Expression.DescendingRangeExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.divisionexpression property)": [[36, "pyVHDLModel.Expression.DivisionExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.enumerationliteral property)": [[36, "pyVHDLModel.Expression.EnumerationLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.equalexpression property)": [[36, "pyVHDLModel.Expression.EqualExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.exponentiationexpression property)": [[36, "pyVHDLModel.Expression.ExponentiationExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.floatingpointliteral property)": [[36, "pyVHDLModel.Expression.FloatingPointLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.functioncall property)": [[36, "pyVHDLModel.Expression.FunctionCall.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.greaterequalexpression property)": [[36, "pyVHDLModel.Expression.GreaterEqualExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.greaterthanexpression property)": [[36, "pyVHDLModel.Expression.GreaterThanExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.identityexpression property)": [[36, "pyVHDLModel.Expression.IdentityExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.indexedaggregateelement property)": [[36, "pyVHDLModel.Expression.IndexedAggregateElement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.integerliteral property)": [[36, "pyVHDLModel.Expression.IntegerLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.inverseexpression property)": [[36, "pyVHDLModel.Expression.InverseExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.lessequalexpression property)": [[36, "pyVHDLModel.Expression.LessEqualExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.lessthanexpression property)": [[36, "pyVHDLModel.Expression.LessThanExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.literal property)": [[36, "pyVHDLModel.Expression.Literal.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.logicalexpression property)": [[36, "pyVHDLModel.Expression.LogicalExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.matchingequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingEqualExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.matchinggreaterequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingGreaterEqualExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.matchinggreaterthanexpression property)": [[36, "pyVHDLModel.Expression.MatchingGreaterThanExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.matchinglessequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingLessEqualExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.matchinglessthanexpression property)": [[36, "pyVHDLModel.Expression.MatchingLessThanExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.matchingrelationalexpression property)": [[36, "pyVHDLModel.Expression.MatchingRelationalExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.matchingunequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingUnequalExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.moduloexpression property)": [[36, "pyVHDLModel.Expression.ModuloExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.multiplyexpression property)": [[36, "pyVHDLModel.Expression.MultiplyExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.multiplyingexpression property)": [[36, "pyVHDLModel.Expression.MultiplyingExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.namedaggregateelement property)": [[36, "pyVHDLModel.Expression.NamedAggregateElement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.nandexpression property)": [[36, "pyVHDLModel.Expression.NandExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.negationexpression property)": [[36, "pyVHDLModel.Expression.NegationExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.norexpression property)": [[36, "pyVHDLModel.Expression.NorExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.nullliteral property)": [[36, "pyVHDLModel.Expression.NullLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.numericliteral property)": [[36, "pyVHDLModel.Expression.NumericLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.orexpression property)": [[36, "pyVHDLModel.Expression.OrExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.othersaggregateelement property)": [[36, "pyVHDLModel.Expression.OthersAggregateElement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.physicalfloatingliteral property)": [[36, "pyVHDLModel.Expression.PhysicalFloatingLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.physicalintegerliteral property)": [[36, "pyVHDLModel.Expression.PhysicalIntegerLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.physicalliteral property)": [[36, "pyVHDLModel.Expression.PhysicalLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.qualifiedexpression property)": [[36, "pyVHDLModel.Expression.QualifiedExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.qualifiedexpressionallocation property)": [[36, "pyVHDLModel.Expression.QualifiedExpressionAllocation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.rangedaggregateelement property)": [[36, "pyVHDLModel.Expression.RangedAggregateElement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.rangeexpression property)": [[36, "pyVHDLModel.Expression.RangeExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.relationalexpression property)": [[36, "pyVHDLModel.Expression.RelationalExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.remainderexpression property)": [[36, "pyVHDLModel.Expression.RemainderExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.rotateexpression property)": [[36, "pyVHDLModel.Expression.RotateExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.rotateleftexpression property)": [[36, "pyVHDLModel.Expression.RotateLeftExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.rotaterightexpression property)": [[36, "pyVHDLModel.Expression.RotateRightExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.shiftarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftArithmeticExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.shiftexpression property)": [[36, "pyVHDLModel.Expression.ShiftExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.shiftleftarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftLeftArithmeticExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.shiftleftlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftLeftLogicExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.shiftlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftLogicExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.shiftrightarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftRightArithmeticExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.shiftrightlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftRightLogicExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.simpleaggregateelement property)": [[36, "pyVHDLModel.Expression.SimpleAggregateElement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.stringliteral property)": [[36, "pyVHDLModel.Expression.StringLiteral.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.subexpression property)": [[36, "pyVHDLModel.Expression.SubExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.subtractionexpression property)": [[36, "pyVHDLModel.Expression.SubtractionExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.subtypeallocation property)": [[36, "pyVHDLModel.Expression.SubtypeAllocation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.ternaryexpression property)": [[36, "pyVHDLModel.Expression.TernaryExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.typeconversion property)": [[36, "pyVHDLModel.Expression.TypeConversion.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.unaryandexpression property)": [[36, "pyVHDLModel.Expression.UnaryAndExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.unaryexpression property)": [[36, "pyVHDLModel.Expression.UnaryExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.unarynandexpression property)": [[36, "pyVHDLModel.Expression.UnaryNandExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.unarynorexpression property)": [[36, "pyVHDLModel.Expression.UnaryNorExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.unaryorexpression property)": [[36, "pyVHDLModel.Expression.UnaryOrExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.unaryxnorexpression property)": [[36, "pyVHDLModel.Expression.UnaryXnorExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.unaryxorexpression property)": [[36, "pyVHDLModel.Expression.UnaryXorExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.unequalexpression property)": [[36, "pyVHDLModel.Expression.UnequalExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.whenelseexpression property)": [[36, "pyVHDLModel.Expression.WhenElseExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.xnorexpression property)": [[36, "pyVHDLModel.Expression.XnorExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.expression.xorexpression property)": [[36, "pyVHDLModel.Expression.XorExpression.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.instantiation.functioninstantiation property)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.instantiation.genericentityinstantiationmixin property)": [[38, "pyVHDLModel.Instantiation.GenericEntityInstantiationMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.instantiation.genericinstantiationmixin property)": [[38, "pyVHDLModel.Instantiation.GenericInstantiationMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.instantiation.procedureinstantiation property)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.instantiation.subprograminstantiationmixin property)": [[38, "pyVHDLModel.Instantiation.SubprogramInstantiationMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.genericconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.genericfunctioninterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.genericinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.GenericInterfaceItemMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.genericpackageinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericPackageInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.genericprocedureinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.genericsubprograminterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericSubprogramInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.generictypeinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.interfaceitemmixin property)": [[39, "pyVHDLModel.Interface.InterfaceItemMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.interfaceitemwithmodemixin property)": [[39, "pyVHDLModel.Interface.InterfaceItemWithModeMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.parameterconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.parameterfileinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.parameterinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.ParameterInterfaceItemMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.parametersignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.parametervariableinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.portinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.PortInterfaceItemMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.interface.portsignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.allname property)": [[40, "pyVHDLModel.Name.AllName.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.attributename property)": [[40, "pyVHDLModel.Name.AttributeName.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.indexedname property)": [[40, "pyVHDLModel.Name.IndexedName.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.name property)": [[40, "pyVHDLModel.Name.Name.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.openname property)": [[40, "pyVHDLModel.Name.OpenName.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.parenthesisname property)": [[40, "pyVHDLModel.Name.ParenthesisName.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.selectedname property)": [[40, "pyVHDLModel.Name.SelectedName.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.simplename property)": [[40, "pyVHDLModel.Name.SimpleName.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.name.slicedname property)": [[40, "pyVHDLModel.Name.SlicedName.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.baseconstant property)": [[42, "pyVHDLModel.Object.BaseConstant.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.constant property)": [[42, "pyVHDLModel.Object.Constant.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.deferredconstant property)": [[42, "pyVHDLModel.Object.DeferredConstant.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.file property)": [[42, "pyVHDLModel.Object.File.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.obj property)": [[42, "pyVHDLModel.Object.Obj.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.sharedvariable property)": [[42, "pyVHDLModel.Object.SharedVariable.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.signal property)": [[42, "pyVHDLModel.Object.Signal.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.variable property)": [[42, "pyVHDLModel.Object.Variable.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.object.withdefaultexpressionmixin property)": [[42, "pyVHDLModel.Object.WithDefaultExpressionMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.predefined.predefinedpackagemixin property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.pslmodel.defaultclock property)": [[43, "pyVHDLModel.PSLModel.DefaultClock.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.pslmodel.pslentity property)": [[43, "pyVHDLModel.PSLModel.PSLEntity.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.regions.concurrentdeclarationregionmixin property)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.branch property)": [[47, "pyVHDLModel.Sequential.Branch.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.case property)": [[47, "pyVHDLModel.Sequential.Case.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.casestatement property)": [[47, "pyVHDLModel.Sequential.CaseStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.compoundstatement property)": [[47, "pyVHDLModel.Sequential.CompoundStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.elsebranch property)": [[47, "pyVHDLModel.Sequential.ElseBranch.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.elsifbranch property)": [[47, "pyVHDLModel.Sequential.ElsifBranch.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.endlessloopstatement property)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.exitstatement property)": [[47, "pyVHDLModel.Sequential.ExitStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.forloopstatement property)": [[47, "pyVHDLModel.Sequential.ForLoopStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.ifbranch property)": [[47, "pyVHDLModel.Sequential.IfBranch.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.ifstatement property)": [[47, "pyVHDLModel.Sequential.IfStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.indexedchoice property)": [[47, "pyVHDLModel.Sequential.IndexedChoice.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.loopcontrolstatement property)": [[47, "pyVHDLModel.Sequential.LoopControlStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.loopstatement property)": [[47, "pyVHDLModel.Sequential.LoopStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.nextstatement property)": [[47, "pyVHDLModel.Sequential.NextStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.nullstatement property)": [[47, "pyVHDLModel.Sequential.NullStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.otherscase property)": [[47, "pyVHDLModel.Sequential.OthersCase.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.rangedchoice property)": [[47, "pyVHDLModel.Sequential.RangedChoice.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.returnstatement property)": [[47, "pyVHDLModel.Sequential.ReturnStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialassertstatement property)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialcase property)": [[47, "pyVHDLModel.Sequential.SequentialCase.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialchoice property)": [[47, "pyVHDLModel.Sequential.SequentialChoice.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialdeclarationsmixin property)": [[47, "pyVHDLModel.Sequential.SequentialDeclarationsMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialprocedurecall property)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialreportstatement property)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialsignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialsimplesignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialstatement property)": [[47, "pyVHDLModel.Sequential.SequentialStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialstatementsmixin property)": [[47, "pyVHDLModel.Sequential.SequentialStatementsMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.sequentialvariableassignment property)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.waitstatement property)": [[47, "pyVHDLModel.Sequential.WaitStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.sequential.whileloopstatement property)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.subprogram.function property)": [[48, "pyVHDLModel.Subprogram.Function.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.subprogram.functionmethod property)": [[48, "pyVHDLModel.Subprogram.FunctionMethod.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.subprogram.methodmixin property)": [[48, "pyVHDLModel.Subprogram.MethodMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.subprogram.procedure property)": [[48, "pyVHDLModel.Subprogram.Procedure.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.subprogram.proceduremethod property)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.subprogram.subprogram property)": [[48, "pyVHDLModel.Subprogram.Subprogram.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.allpackagemembersreferencesymbol property)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.architecturesymbol property)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.componentinstantiationsymbol property)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.configurationinstantiationsymbol property)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.constrainedarraysubtypesymbol property)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.constrainedcompositesubtypesymbol property)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.constrainedrecordsubtypesymbol property)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.constrainedscalarsubtypesymbol property)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.contextreferencesymbol property)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.entityinstantiationsymbol property)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.entitysymbol property)": [[49, "pyVHDLModel.Symbol.EntitySymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.indexedobjectorfunctioncallsymbol property)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.libraryreferencesymbol property)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.packagememberreferencesymbol property)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.packagereferencesymbol property)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.packagesymbol property)": [[49, "pyVHDLModel.Symbol.PackageSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.recordelementsymbol property)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.simpleobjectorfunctioncallsymbol property)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.simplesubtypesymbol property)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.subtypesymbol property)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.symbol.symbol property)": [[49, "pyVHDLModel.Symbol.Symbol.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.accesstype property)": [[50, "pyVHDLModel.Type.AccessType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.anonymoustype property)": [[50, "pyVHDLModel.Type.AnonymousType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.arraytype property)": [[50, "pyVHDLModel.Type.ArrayType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.basetype property)": [[50, "pyVHDLModel.Type.BaseType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.compositetype property)": [[50, "pyVHDLModel.Type.CompositeType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.discretetypemixin property)": [[50, "pyVHDLModel.Type.DiscreteTypeMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.enumeratedtype property)": [[50, "pyVHDLModel.Type.EnumeratedType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.filetype property)": [[50, "pyVHDLModel.Type.FileType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.fulltype property)": [[50, "pyVHDLModel.Type.FullType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.integertype property)": [[50, "pyVHDLModel.Type.IntegerType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.numerictypemixin property)": [[50, "pyVHDLModel.Type.NumericTypeMixin.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.physicaltype property)": [[50, "pyVHDLModel.Type.PhysicalType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.protectedtype property)": [[50, "pyVHDLModel.Type.ProtectedType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.protectedtypebody property)": [[50, "pyVHDLModel.Type.ProtectedTypeBody.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.rangedscalartype property)": [[50, "pyVHDLModel.Type.RangedScalarType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.realtype property)": [[50, "pyVHDLModel.Type.RealType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.recordtype property)": [[50, "pyVHDLModel.Type.RecordType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.recordtypeelement property)": [[50, "pyVHDLModel.Type.RecordTypeElement.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.scalartype property)": [[50, "pyVHDLModel.Type.ScalarType.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.subtype property)": [[50, "pyVHDLModel.Type.Subtype.HasClassAttributes", false]], "hasclassattributes (pyvhdlmodel.type.type property)": [[50, "pyVHDLModel.Type.Type.HasClassAttributes", false]], "hasmethodattributes (pyvhdlmodel.association.associationitem property)": [[29, "pyVHDLModel.Association.AssociationItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.association.genericassociationitem property)": [[29, "pyVHDLModel.Association.GenericAssociationItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.association.parameterassociationitem property)": [[29, "pyVHDLModel.Association.ParameterAssociationItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.association.portassociationitem property)": [[29, "pyVHDLModel.Association.PortAssociationItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.assertstatementmixin property)": [[30, "pyVHDLModel.Base.AssertStatementMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.basecase property)": [[30, "pyVHDLModel.Base.BaseCase.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.basechoice property)": [[30, "pyVHDLModel.Base.BaseChoice.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.branchmixin property)": [[30, "pyVHDLModel.Base.BranchMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.conditionalbranchmixin property)": [[30, "pyVHDLModel.Base.ConditionalBranchMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.conditionalmixin property)": [[30, "pyVHDLModel.Base.ConditionalMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.documentedentitymixin property)": [[30, "pyVHDLModel.Base.DocumentedEntityMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.elsebranchmixin property)": [[30, "pyVHDLModel.Base.ElseBranchMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.elsifbranchmixin property)": [[30, "pyVHDLModel.Base.ElsifBranchMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.ifbranchmixin property)": [[30, "pyVHDLModel.Base.IfBranchMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.labeledentitymixin property)": [[30, "pyVHDLModel.Base.LabeledEntityMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.modelentity property)": [[30, "pyVHDLModel.Base.ModelEntity.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.multiplenamedentitymixin property)": [[30, "pyVHDLModel.Base.MultipleNamedEntityMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.namedentitymixin property)": [[30, "pyVHDLModel.Base.NamedEntityMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.range property)": [[30, "pyVHDLModel.Base.Range.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.reportstatementmixin property)": [[30, "pyVHDLModel.Base.ReportStatementMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.base.waveformelement property)": [[30, "pyVHDLModel.Base.WaveformElement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.common.assignmentmixin property)": [[31, "pyVHDLModel.Common.AssignmentMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.common.procedurecallmixin property)": [[31, "pyVHDLModel.Common.ProcedureCallMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.common.signalassignmentmixin property)": [[31, "pyVHDLModel.Common.SignalAssignmentMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.common.statement property)": [[31, "pyVHDLModel.Common.Statement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.common.variableassignmentmixin property)": [[31, "pyVHDLModel.Common.VariableAssignmentMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.casegeneratestatement property)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.componentinstantiation property)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentassertstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentblockstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentcase property)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentchoice property)": [[32, "pyVHDLModel.Concurrent.ConcurrentChoice.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentprocedurecall property)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentselectedsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentsimplesignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.concurrentstatementsmixin property)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatementsMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.configurationinstantiation property)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.elsegeneratebranch property)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.elsifgeneratebranch property)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.entityinstantiation property)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.forgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.generatebranch property)": [[32, "pyVHDLModel.Concurrent.GenerateBranch.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.generatecase property)": [[32, "pyVHDLModel.Concurrent.GenerateCase.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.generatestatement property)": [[32, "pyVHDLModel.Concurrent.GenerateStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.ifgeneratebranch property)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.ifgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.indexedgeneratechoice property)": [[32, "pyVHDLModel.Concurrent.IndexedGenerateChoice.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.instantiation property)": [[32, "pyVHDLModel.Concurrent.Instantiation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.othersgeneratecase property)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.processstatement property)": [[32, "pyVHDLModel.Concurrent.ProcessStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.concurrent.rangedgeneratechoice property)": [[32, "pyVHDLModel.Concurrent.RangedGenerateChoice.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.declaration.alias property)": [[33, "pyVHDLModel.Declaration.Alias.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.declaration.attribute property)": [[33, "pyVHDLModel.Declaration.Attribute.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.declaration.attributespecification property)": [[33, "pyVHDLModel.Declaration.AttributeSpecification.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.component property)": [[34, "pyVHDLModel.DesignUnit.Component.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.contextreference property)": [[34, "pyVHDLModel.DesignUnit.ContextReference.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.designunitwithcontextmixin property)": [[34, "pyVHDLModel.DesignUnit.DesignUnitWithContextMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.libraryclause property)": [[34, "pyVHDLModel.DesignUnit.LibraryClause.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.reference property)": [[34, "pyVHDLModel.DesignUnit.Reference.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.designunit.useclause property)": [[34, "pyVHDLModel.DesignUnit.UseClause.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.absoluteexpression property)": [[36, "pyVHDLModel.Expression.AbsoluteExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.addingexpression property)": [[36, "pyVHDLModel.Expression.AddingExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.additionexpression property)": [[36, "pyVHDLModel.Expression.AdditionExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.aggregate property)": [[36, "pyVHDLModel.Expression.Aggregate.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.aggregateelement property)": [[36, "pyVHDLModel.Expression.AggregateElement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.allocation property)": [[36, "pyVHDLModel.Expression.Allocation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.andexpression property)": [[36, "pyVHDLModel.Expression.AndExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.ascendingrangeexpression property)": [[36, "pyVHDLModel.Expression.AscendingRangeExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.baseexpression property)": [[36, "pyVHDLModel.Expression.BaseExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.binaryexpression property)": [[36, "pyVHDLModel.Expression.BinaryExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.bitstringliteral property)": [[36, "pyVHDLModel.Expression.BitStringLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.characterliteral property)": [[36, "pyVHDLModel.Expression.CharacterLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.concatenationexpression property)": [[36, "pyVHDLModel.Expression.ConcatenationExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.descendingrangeexpression property)": [[36, "pyVHDLModel.Expression.DescendingRangeExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.divisionexpression property)": [[36, "pyVHDLModel.Expression.DivisionExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.enumerationliteral property)": [[36, "pyVHDLModel.Expression.EnumerationLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.equalexpression property)": [[36, "pyVHDLModel.Expression.EqualExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.exponentiationexpression property)": [[36, "pyVHDLModel.Expression.ExponentiationExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.floatingpointliteral property)": [[36, "pyVHDLModel.Expression.FloatingPointLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.functioncall property)": [[36, "pyVHDLModel.Expression.FunctionCall.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.greaterequalexpression property)": [[36, "pyVHDLModel.Expression.GreaterEqualExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.greaterthanexpression property)": [[36, "pyVHDLModel.Expression.GreaterThanExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.identityexpression property)": [[36, "pyVHDLModel.Expression.IdentityExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.indexedaggregateelement property)": [[36, "pyVHDLModel.Expression.IndexedAggregateElement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.integerliteral property)": [[36, "pyVHDLModel.Expression.IntegerLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.inverseexpression property)": [[36, "pyVHDLModel.Expression.InverseExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.lessequalexpression property)": [[36, "pyVHDLModel.Expression.LessEqualExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.lessthanexpression property)": [[36, "pyVHDLModel.Expression.LessThanExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.literal property)": [[36, "pyVHDLModel.Expression.Literal.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.logicalexpression property)": [[36, "pyVHDLModel.Expression.LogicalExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.matchingequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingEqualExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.matchinggreaterequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingGreaterEqualExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.matchinggreaterthanexpression property)": [[36, "pyVHDLModel.Expression.MatchingGreaterThanExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.matchinglessequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingLessEqualExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.matchinglessthanexpression property)": [[36, "pyVHDLModel.Expression.MatchingLessThanExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.matchingrelationalexpression property)": [[36, "pyVHDLModel.Expression.MatchingRelationalExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.matchingunequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingUnequalExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.moduloexpression property)": [[36, "pyVHDLModel.Expression.ModuloExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.multiplyexpression property)": [[36, "pyVHDLModel.Expression.MultiplyExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.multiplyingexpression property)": [[36, "pyVHDLModel.Expression.MultiplyingExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.namedaggregateelement property)": [[36, "pyVHDLModel.Expression.NamedAggregateElement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.nandexpression property)": [[36, "pyVHDLModel.Expression.NandExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.negationexpression property)": [[36, "pyVHDLModel.Expression.NegationExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.norexpression property)": [[36, "pyVHDLModel.Expression.NorExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.nullliteral property)": [[36, "pyVHDLModel.Expression.NullLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.numericliteral property)": [[36, "pyVHDLModel.Expression.NumericLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.orexpression property)": [[36, "pyVHDLModel.Expression.OrExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.othersaggregateelement property)": [[36, "pyVHDLModel.Expression.OthersAggregateElement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.physicalfloatingliteral property)": [[36, "pyVHDLModel.Expression.PhysicalFloatingLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.physicalintegerliteral property)": [[36, "pyVHDLModel.Expression.PhysicalIntegerLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.physicalliteral property)": [[36, "pyVHDLModel.Expression.PhysicalLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.qualifiedexpression property)": [[36, "pyVHDLModel.Expression.QualifiedExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.qualifiedexpressionallocation property)": [[36, "pyVHDLModel.Expression.QualifiedExpressionAllocation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.rangedaggregateelement property)": [[36, "pyVHDLModel.Expression.RangedAggregateElement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.rangeexpression property)": [[36, "pyVHDLModel.Expression.RangeExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.relationalexpression property)": [[36, "pyVHDLModel.Expression.RelationalExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.remainderexpression property)": [[36, "pyVHDLModel.Expression.RemainderExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.rotateexpression property)": [[36, "pyVHDLModel.Expression.RotateExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.rotateleftexpression property)": [[36, "pyVHDLModel.Expression.RotateLeftExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.rotaterightexpression property)": [[36, "pyVHDLModel.Expression.RotateRightExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.shiftarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftArithmeticExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.shiftexpression property)": [[36, "pyVHDLModel.Expression.ShiftExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.shiftleftarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftLeftArithmeticExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.shiftleftlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftLeftLogicExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.shiftlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftLogicExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.shiftrightarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftRightArithmeticExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.shiftrightlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftRightLogicExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.simpleaggregateelement property)": [[36, "pyVHDLModel.Expression.SimpleAggregateElement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.stringliteral property)": [[36, "pyVHDLModel.Expression.StringLiteral.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.subexpression property)": [[36, "pyVHDLModel.Expression.SubExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.subtractionexpression property)": [[36, "pyVHDLModel.Expression.SubtractionExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.subtypeallocation property)": [[36, "pyVHDLModel.Expression.SubtypeAllocation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.ternaryexpression property)": [[36, "pyVHDLModel.Expression.TernaryExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.typeconversion property)": [[36, "pyVHDLModel.Expression.TypeConversion.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.unaryandexpression property)": [[36, "pyVHDLModel.Expression.UnaryAndExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.unaryexpression property)": [[36, "pyVHDLModel.Expression.UnaryExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.unarynandexpression property)": [[36, "pyVHDLModel.Expression.UnaryNandExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.unarynorexpression property)": [[36, "pyVHDLModel.Expression.UnaryNorExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.unaryorexpression property)": [[36, "pyVHDLModel.Expression.UnaryOrExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.unaryxnorexpression property)": [[36, "pyVHDLModel.Expression.UnaryXnorExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.unaryxorexpression property)": [[36, "pyVHDLModel.Expression.UnaryXorExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.unequalexpression property)": [[36, "pyVHDLModel.Expression.UnequalExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.whenelseexpression property)": [[36, "pyVHDLModel.Expression.WhenElseExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.xnorexpression property)": [[36, "pyVHDLModel.Expression.XnorExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.expression.xorexpression property)": [[36, "pyVHDLModel.Expression.XorExpression.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.instantiation.functioninstantiation property)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.instantiation.genericentityinstantiationmixin property)": [[38, "pyVHDLModel.Instantiation.GenericEntityInstantiationMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.instantiation.genericinstantiationmixin property)": [[38, "pyVHDLModel.Instantiation.GenericInstantiationMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.instantiation.procedureinstantiation property)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.instantiation.subprograminstantiationmixin property)": [[38, "pyVHDLModel.Instantiation.SubprogramInstantiationMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.genericconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.genericfunctioninterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.genericinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.GenericInterfaceItemMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.genericpackageinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericPackageInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.genericprocedureinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.genericsubprograminterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericSubprogramInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.generictypeinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.interfaceitemmixin property)": [[39, "pyVHDLModel.Interface.InterfaceItemMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.interfaceitemwithmodemixin property)": [[39, "pyVHDLModel.Interface.InterfaceItemWithModeMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.parameterconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.parameterfileinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.parameterinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.ParameterInterfaceItemMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.parametersignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.parametervariableinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.portinterfaceitemmixin property)": [[39, "pyVHDLModel.Interface.PortInterfaceItemMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.interface.portsignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.allname property)": [[40, "pyVHDLModel.Name.AllName.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.attributename property)": [[40, "pyVHDLModel.Name.AttributeName.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.indexedname property)": [[40, "pyVHDLModel.Name.IndexedName.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.name property)": [[40, "pyVHDLModel.Name.Name.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.openname property)": [[40, "pyVHDLModel.Name.OpenName.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.parenthesisname property)": [[40, "pyVHDLModel.Name.ParenthesisName.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.selectedname property)": [[40, "pyVHDLModel.Name.SelectedName.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.simplename property)": [[40, "pyVHDLModel.Name.SimpleName.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.name.slicedname property)": [[40, "pyVHDLModel.Name.SlicedName.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.baseconstant property)": [[42, "pyVHDLModel.Object.BaseConstant.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.constant property)": [[42, "pyVHDLModel.Object.Constant.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.deferredconstant property)": [[42, "pyVHDLModel.Object.DeferredConstant.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.file property)": [[42, "pyVHDLModel.Object.File.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.obj property)": [[42, "pyVHDLModel.Object.Obj.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.sharedvariable property)": [[42, "pyVHDLModel.Object.SharedVariable.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.signal property)": [[42, "pyVHDLModel.Object.Signal.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.variable property)": [[42, "pyVHDLModel.Object.Variable.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.object.withdefaultexpressionmixin property)": [[42, "pyVHDLModel.Object.WithDefaultExpressionMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.predefined.predefinedpackagemixin property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.pslmodel.defaultclock property)": [[43, "pyVHDLModel.PSLModel.DefaultClock.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.pslmodel.pslentity property)": [[43, "pyVHDLModel.PSLModel.PSLEntity.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.regions.concurrentdeclarationregionmixin property)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.branch property)": [[47, "pyVHDLModel.Sequential.Branch.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.case property)": [[47, "pyVHDLModel.Sequential.Case.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.casestatement property)": [[47, "pyVHDLModel.Sequential.CaseStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.compoundstatement property)": [[47, "pyVHDLModel.Sequential.CompoundStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.elsebranch property)": [[47, "pyVHDLModel.Sequential.ElseBranch.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.elsifbranch property)": [[47, "pyVHDLModel.Sequential.ElsifBranch.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.endlessloopstatement property)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.exitstatement property)": [[47, "pyVHDLModel.Sequential.ExitStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.forloopstatement property)": [[47, "pyVHDLModel.Sequential.ForLoopStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.ifbranch property)": [[47, "pyVHDLModel.Sequential.IfBranch.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.ifstatement property)": [[47, "pyVHDLModel.Sequential.IfStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.indexedchoice property)": [[47, "pyVHDLModel.Sequential.IndexedChoice.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.loopcontrolstatement property)": [[47, "pyVHDLModel.Sequential.LoopControlStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.loopstatement property)": [[47, "pyVHDLModel.Sequential.LoopStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.nextstatement property)": [[47, "pyVHDLModel.Sequential.NextStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.nullstatement property)": [[47, "pyVHDLModel.Sequential.NullStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.otherscase property)": [[47, "pyVHDLModel.Sequential.OthersCase.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.rangedchoice property)": [[47, "pyVHDLModel.Sequential.RangedChoice.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.returnstatement property)": [[47, "pyVHDLModel.Sequential.ReturnStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialassertstatement property)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialcase property)": [[47, "pyVHDLModel.Sequential.SequentialCase.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialchoice property)": [[47, "pyVHDLModel.Sequential.SequentialChoice.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialdeclarationsmixin property)": [[47, "pyVHDLModel.Sequential.SequentialDeclarationsMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialprocedurecall property)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialreportstatement property)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialsignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialsimplesignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialstatement property)": [[47, "pyVHDLModel.Sequential.SequentialStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialstatementsmixin property)": [[47, "pyVHDLModel.Sequential.SequentialStatementsMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.sequentialvariableassignment property)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.waitstatement property)": [[47, "pyVHDLModel.Sequential.WaitStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.sequential.whileloopstatement property)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.subprogram.function property)": [[48, "pyVHDLModel.Subprogram.Function.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.subprogram.functionmethod property)": [[48, "pyVHDLModel.Subprogram.FunctionMethod.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.subprogram.methodmixin property)": [[48, "pyVHDLModel.Subprogram.MethodMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.subprogram.procedure property)": [[48, "pyVHDLModel.Subprogram.Procedure.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.subprogram.proceduremethod property)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.subprogram.subprogram property)": [[48, "pyVHDLModel.Subprogram.Subprogram.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.allpackagemembersreferencesymbol property)": [[49, "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.architecturesymbol property)": [[49, "pyVHDLModel.Symbol.ArchitectureSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.componentinstantiationsymbol property)": [[49, "pyVHDLModel.Symbol.ComponentInstantiationSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.configurationinstantiationsymbol property)": [[49, "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.constrainedarraysubtypesymbol property)": [[49, "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.constrainedcompositesubtypesymbol property)": [[49, "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.constrainedrecordsubtypesymbol property)": [[49, "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.constrainedscalarsubtypesymbol property)": [[49, "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.contextreferencesymbol property)": [[49, "pyVHDLModel.Symbol.ContextReferenceSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.entityinstantiationsymbol property)": [[49, "pyVHDLModel.Symbol.EntityInstantiationSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.entitysymbol property)": [[49, "pyVHDLModel.Symbol.EntitySymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.indexedobjectorfunctioncallsymbol property)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.libraryreferencesymbol property)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.packagememberreferencesymbol property)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.packagereferencesymbol property)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.packagesymbol property)": [[49, "pyVHDLModel.Symbol.PackageSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.recordelementsymbol property)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.simpleobjectorfunctioncallsymbol property)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.simplesubtypesymbol property)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.subtypesymbol property)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.symbol.symbol property)": [[49, "pyVHDLModel.Symbol.Symbol.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.accesstype property)": [[50, "pyVHDLModel.Type.AccessType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.anonymoustype property)": [[50, "pyVHDLModel.Type.AnonymousType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.arraytype property)": [[50, "pyVHDLModel.Type.ArrayType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.basetype property)": [[50, "pyVHDLModel.Type.BaseType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.compositetype property)": [[50, "pyVHDLModel.Type.CompositeType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.discretetypemixin property)": [[50, "pyVHDLModel.Type.DiscreteTypeMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.enumeratedtype property)": [[50, "pyVHDLModel.Type.EnumeratedType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.filetype property)": [[50, "pyVHDLModel.Type.FileType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.fulltype property)": [[50, "pyVHDLModel.Type.FullType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.integertype property)": [[50, "pyVHDLModel.Type.IntegerType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.numerictypemixin property)": [[50, "pyVHDLModel.Type.NumericTypeMixin.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.physicaltype property)": [[50, "pyVHDLModel.Type.PhysicalType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.protectedtype property)": [[50, "pyVHDLModel.Type.ProtectedType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.protectedtypebody property)": [[50, "pyVHDLModel.Type.ProtectedTypeBody.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.rangedscalartype property)": [[50, "pyVHDLModel.Type.RangedScalarType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.realtype property)": [[50, "pyVHDLModel.Type.RealType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.recordtype property)": [[50, "pyVHDLModel.Type.RecordType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.recordtypeelement property)": [[50, "pyVHDLModel.Type.RecordTypeElement.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.scalartype property)": [[50, "pyVHDLModel.Type.ScalarType.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.subtype property)": [[50, "pyVHDLModel.Type.Subtype.HasMethodAttributes", false]], "hasmethodattributes (pyvhdlmodel.type.type property)": [[50, "pyVHDLModel.Type.Type.HasMethodAttributes", false]], "hasprefix (pyvhdlmodel.name.allname property)": [[40, "pyVHDLModel.Name.AllName.HasPrefix", false]], "hasprefix (pyvhdlmodel.name.attributename property)": [[40, "pyVHDLModel.Name.AttributeName.HasPrefix", false]], "hasprefix (pyvhdlmodel.name.indexedname property)": [[40, "pyVHDLModel.Name.IndexedName.HasPrefix", false]], "hasprefix (pyvhdlmodel.name.name property)": [[40, "pyVHDLModel.Name.Name.HasPrefix", false]], "hasprefix (pyvhdlmodel.name.openname property)": [[40, "pyVHDLModel.Name.OpenName.HasPrefix", false]], "hasprefix (pyvhdlmodel.name.parenthesisname property)": [[40, "pyVHDLModel.Name.ParenthesisName.HasPrefix", false]], "hasprefix (pyvhdlmodel.name.selectedname property)": [[40, "pyVHDLModel.Name.SelectedName.HasPrefix", false]], "hasprefix (pyvhdlmodel.name.simplename property)": [[40, "pyVHDLModel.Name.SimpleName.HasPrefix", false]], "hasprefix (pyvhdlmodel.name.slicedname property)": [[40, "pyVHDLModel.Name.SlicedName.HasPrefix", false]], "hierarchygraph (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.HierarchyGraph", false]], "hierarchyvertex (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.HierarchyVertex", false]], "hierarchyvertex (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.HierarchyVertex", false]], "identifier (pyvhdlmodel.base.namedentitymixin property)": [[30, "pyVHDLModel.Base.NamedEntityMixin.Identifier", false]], "identifier (pyvhdlmodel.declaration.alias property)": [[33, "pyVHDLModel.Declaration.Alias.Identifier", false]], "identifier (pyvhdlmodel.declaration.attribute property)": [[33, "pyVHDLModel.Declaration.Attribute.Identifier", false]], "identifier (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.Identifier", false]], "identifier (pyvhdlmodel.designunit.component property)": [[34, "pyVHDLModel.DesignUnit.Component.Identifier", false]], "identifier (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.Identifier", false]], "identifier (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.Identifier", false]], "identifier (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.Identifier", false]], "identifier (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.Identifier", false]], "identifier (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.Identifier", false]], "identifier (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.Identifier", false]], "identifier (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.Identifier", false]], "identifier (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.Identifier", false]], "identifier (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.Identifier", false]], "identifier (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.Identifier", false]], "identifier (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.Identifier", false]], "identifier (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.Identifier", false]], "identifier (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.Identifier", false]], "identifier (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.Identifier", false]], "identifier (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.Identifier", false]], "identifier (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.Identifier", false]], "identifier (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.Identifier", false]], "identifier (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.Identifier", false]], "identifier (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.Identifier", false]], "identifier (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.Identifier", false]], "identifier (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.Identifier", false]], "identifier (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.Identifier", false]], "identifier (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.Identifier", false]], "identifier (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.Identifier", false]], "identifier (pyvhdlmodel.instantiation.functioninstantiation property)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation.Identifier", false]], "identifier (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.Identifier", false]], "identifier (pyvhdlmodel.instantiation.procedureinstantiation property)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation.Identifier", false]], "identifier (pyvhdlmodel.interface.genericfunctioninterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem.Identifier", false]], "identifier (pyvhdlmodel.interface.genericprocedureinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem.Identifier", false]], "identifier (pyvhdlmodel.interface.generictypeinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem.Identifier", false]], "identifier (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.Identifier", false]], "identifier (pyvhdlmodel.name.allname property)": [[40, "pyVHDLModel.Name.AllName.Identifier", false]], "identifier (pyvhdlmodel.name.attributename property)": [[40, "pyVHDLModel.Name.AttributeName.Identifier", false]], "identifier (pyvhdlmodel.name.indexedname property)": [[40, "pyVHDLModel.Name.IndexedName.Identifier", false]], "identifier (pyvhdlmodel.name.name property)": [[40, "pyVHDLModel.Name.Name.Identifier", false]], "identifier (pyvhdlmodel.name.openname property)": [[40, "pyVHDLModel.Name.OpenName.Identifier", false]], "identifier (pyvhdlmodel.name.parenthesisname property)": [[40, "pyVHDLModel.Name.ParenthesisName.Identifier", false]], "identifier (pyvhdlmodel.name.selectedname property)": [[40, "pyVHDLModel.Name.SelectedName.Identifier", false]], "identifier (pyvhdlmodel.name.simplename property)": [[40, "pyVHDLModel.Name.SimpleName.Identifier", false]], "identifier (pyvhdlmodel.name.slicedname property)": [[40, "pyVHDLModel.Name.SlicedName.Identifier", false]], "identifier (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.Identifier", false]], "identifier (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.Identifier", false]], "identifier (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.Identifier", false]], "identifier (pyvhdlmodel.pslmodel.defaultclock property)": [[43, "pyVHDLModel.PSLModel.DefaultClock.Identifier", false]], "identifier (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.Identifier", false]], "identifier (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.Identifier", false]], "identifier (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.Identifier", false]], "identifier (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.Identifier", false]], "identifier (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.Identifier", false]], "identifier (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.Identifier", false]], "identifier (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.Identifier", false]], "identifier (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.Identifier", false]], "identifier (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.Identifier", false]], "identifier (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.Identifier", false]], "identifier (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.Identifier", false]], "identifier (pyvhdlmodel.subprogram.function property)": [[48, "pyVHDLModel.Subprogram.Function.Identifier", false]], "identifier (pyvhdlmodel.subprogram.functionmethod property)": [[48, "pyVHDLModel.Subprogram.FunctionMethod.Identifier", false]], "identifier (pyvhdlmodel.subprogram.procedure property)": [[48, "pyVHDLModel.Subprogram.Procedure.Identifier", false]], "identifier (pyvhdlmodel.subprogram.proceduremethod property)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod.Identifier", false]], "identifier (pyvhdlmodel.subprogram.subprogram property)": [[48, "pyVHDLModel.Subprogram.Subprogram.Identifier", false]], "identifier (pyvhdlmodel.type.accesstype property)": [[50, "pyVHDLModel.Type.AccessType.Identifier", false]], "identifier (pyvhdlmodel.type.anonymoustype property)": [[50, "pyVHDLModel.Type.AnonymousType.Identifier", false]], "identifier (pyvhdlmodel.type.arraytype property)": [[50, "pyVHDLModel.Type.ArrayType.Identifier", false]], "identifier (pyvhdlmodel.type.basetype property)": [[50, "pyVHDLModel.Type.BaseType.Identifier", false]], "identifier (pyvhdlmodel.type.compositetype property)": [[50, "pyVHDLModel.Type.CompositeType.Identifier", false]], "identifier (pyvhdlmodel.type.enumeratedtype property)": [[50, "pyVHDLModel.Type.EnumeratedType.Identifier", false]], "identifier (pyvhdlmodel.type.filetype property)": [[50, "pyVHDLModel.Type.FileType.Identifier", false]], "identifier (pyvhdlmodel.type.fulltype property)": [[50, "pyVHDLModel.Type.FullType.Identifier", false]], "identifier (pyvhdlmodel.type.integertype property)": [[50, "pyVHDLModel.Type.IntegerType.Identifier", false]], "identifier (pyvhdlmodel.type.physicaltype property)": [[50, "pyVHDLModel.Type.PhysicalType.Identifier", false]], "identifier (pyvhdlmodel.type.protectedtype property)": [[50, "pyVHDLModel.Type.ProtectedType.Identifier", false]], "identifier (pyvhdlmodel.type.protectedtypebody property)": [[50, "pyVHDLModel.Type.ProtectedTypeBody.Identifier", false]], "identifier (pyvhdlmodel.type.rangedscalartype property)": [[50, "pyVHDLModel.Type.RangedScalarType.Identifier", false]], "identifier (pyvhdlmodel.type.realtype property)": [[50, "pyVHDLModel.Type.RealType.Identifier", false]], "identifier (pyvhdlmodel.type.recordtype property)": [[50, "pyVHDLModel.Type.RecordType.Identifier", false]], "identifier (pyvhdlmodel.type.scalartype property)": [[50, "pyVHDLModel.Type.ScalarType.Identifier", false]], "identifier (pyvhdlmodel.type.subtype property)": [[50, "pyVHDLModel.Type.Subtype.Identifier", false]], "identifier (pyvhdlmodel.type.type property)": [[50, "pyVHDLModel.Type.Type.Identifier", false]], "identifiers (pyvhdlmodel.base.multiplenamedentitymixin property)": [[30, "pyVHDLModel.Base.MultipleNamedEntityMixin.Identifiers", false]], "identifiers (pyvhdlmodel.interface.genericconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem.Identifiers", false]], "identifiers (pyvhdlmodel.interface.parameterconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem.Identifiers", false]], "identifiers (pyvhdlmodel.interface.parameterfileinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem.Identifiers", false]], "identifiers (pyvhdlmodel.interface.parametersignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem.Identifiers", false]], "identifiers (pyvhdlmodel.interface.parametervariableinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem.Identifiers", false]], "identifiers (pyvhdlmodel.interface.portsignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem.Identifiers", false]], "identifiers (pyvhdlmodel.object.baseconstant property)": [[42, "pyVHDLModel.Object.BaseConstant.Identifiers", false]], "identifiers (pyvhdlmodel.object.constant property)": [[42, "pyVHDLModel.Object.Constant.Identifiers", false]], "identifiers (pyvhdlmodel.object.deferredconstant property)": [[42, "pyVHDLModel.Object.DeferredConstant.Identifiers", false]], "identifiers (pyvhdlmodel.object.file property)": [[42, "pyVHDLModel.Object.File.Identifiers", false]], "identifiers (pyvhdlmodel.object.obj property)": [[42, "pyVHDLModel.Object.Obj.Identifiers", false]], "identifiers (pyvhdlmodel.object.sharedvariable property)": [[42, "pyVHDLModel.Object.SharedVariable.Identifiers", false]], "identifiers (pyvhdlmodel.object.signal property)": [[42, "pyVHDLModel.Object.Signal.Identifiers", false]], "identifiers (pyvhdlmodel.object.variable property)": [[42, "pyVHDLModel.Object.Variable.Identifiers", false]], "identifiers (pyvhdlmodel.type.recordtypeelement property)": [[50, "pyVHDLModel.Type.RecordTypeElement.Identifiers", false]], "identityexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.IdentityExpression", false]], "ieee (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Ieee", false]], "ifbranch (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.IfBranch", false]], "ifbranch (pyvhdlmodel.sequential.ifstatement property)": [[47, "pyVHDLModel.Sequential.IfStatement.IfBranch", false]], "ifbranchmixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.IfBranchMixin", false]], "ifgeneratebranch (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch", false]], "ifgeneratestatement (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement", false]], "ifstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.IfStatement", false]], "in (pyvhdlmodel.base.mode attribute)": [[30, "pyVHDLModel.Base.Mode.In", false]], "indexarchitectures() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.IndexArchitectures", false]], "indexarchitectures() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.IndexArchitectures", false]], "indexarchitectures() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.IndexArchitectures", false]], "indexarchitectures() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.IndexArchitectures", false]], "indexarchitectures() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.IndexArchitectures", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.concurrentblockstatement method)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.concurrentcase method)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.elsegeneratebranch method)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.elsifgeneratebranch method)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.forgeneratestatement method)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.generatebranch method)": [[32, "pyVHDLModel.Concurrent.GenerateBranch.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.generatecase method)": [[32, "pyVHDLModel.Concurrent.GenerateCase.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.ifgeneratebranch method)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.concurrent.othersgeneratecase method)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.designunit.architecture method)": [[34, "pyVHDLModel.DesignUnit.Architecture.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.designunit.entity method)": [[34, "pyVHDLModel.DesignUnit.Entity.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.designunit.package method)": [[34, "pyVHDLModel.DesignUnit.Package.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.designunit.packagebody method)": [[34, "pyVHDLModel.DesignUnit.PackageBody.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.fixed_float_types method)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.fixed_generic_pkg method)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.fixed_generic_pkg_body method)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.fixed_pkg method)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.float_generic_pkg method)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.float_generic_pkg_body method)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.float_pkg method)": [[37, "pyVHDLModel.IEEE.Float_Pkg.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.math_complex method)": [[37, "pyVHDLModel.IEEE.Math_Complex.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.math_complex_body method)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.math_real method)": [[37, "pyVHDLModel.IEEE.Math_Real.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.math_real_body method)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.numeric_bit method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.numeric_bit_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.numeric_bit_unsigned method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.numeric_bit_unsigned_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.numeric_std method)": [[37, "pyVHDLModel.IEEE.Numeric_Std.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.numeric_std_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.numeric_std_unsigned method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.numeric_std_unsigned_body method)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.std_logic_1164 method)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.std_logic_1164_body method)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.std_logic_misc method)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.std_logic_misc_body method)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.ieee.std_logic_textio method)": [[37, "pyVHDLModel.IEEE.std_logic_textio.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.predefined.predefinedpackage method)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.predefined.predefinedpackagebody method)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.regions.concurrentdeclarationregionmixin method)": [[45, "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.std.env method)": [[46, "pyVHDLModel.STD.Env.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.std.env_body method)": [[46, "pyVHDLModel.STD.Env_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.std.standard method)": [[46, "pyVHDLModel.STD.Standard.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.std.standard_body method)": [[46, "pyVHDLModel.STD.Standard_Body.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.std.textio method)": [[46, "pyVHDLModel.STD.TextIO.IndexDeclaredItems", false]], "indexdeclareditems() (pyvhdlmodel.std.textio_body method)": [[46, "pyVHDLModel.STD.TextIO_Body.IndexDeclaredItems", false]], "indexedaggregateelement (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.IndexedAggregateElement", false]], "indexedchoice (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.IndexedChoice", false]], "indexedgeneratechoice (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.IndexedGenerateChoice", false]], "indexedname (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.IndexedName", false]], "indexedobjectorfunctioncallsymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol", false]], "indexentities() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.IndexEntities", false]], "indexentities() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.IndexEntities", false]], "indexentities() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.IndexEntities", false]], "indexentities() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.IndexEntities", false]], "indexentities() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.IndexEntities", false]], "indexpackagebodies() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.IndexPackageBodies", false]], "indexpackagebodies() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.IndexPackageBodies", false]], "indexpackagebodies() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.IndexPackageBodies", false]], "indexpackagebodies() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.IndexPackageBodies", false]], "indexpackagebodies() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.IndexPackageBodies", false]], "indexpackages() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.IndexPackages", false]], "indexpackages() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.IndexPackages", false]], "indexpackages() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.IndexPackages", false]], "indexpackages() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.IndexPackages", false]], "indexpackages() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.IndexPackages", false]], "inout (pyvhdlmodel.base.mode attribute)": [[30, "pyVHDLModel.Base.Mode.InOut", false]], "instantiation (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.Instantiation", false]], "integerliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.IntegerLiteral", false]], "integertype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.IntegerType", false]], "interfaceitemmixin (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.InterfaceItemMixin", false]], "interfaceitemwithmodemixin (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.InterfaceItemWithModeMixin", false]], "inverseexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.InverseExpression", false]], "isams (pyvhdlmodel.vhdlversion property)": [[28, "pyVHDLModel.VHDLVersion.IsAMS", false]], "isvhdl (pyvhdlmodel.vhdlversion property)": [[28, "pyVHDLModel.VHDLVersion.IsVHDL", false]], "iteratedesignunits() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.IterateDesignUnits", false]], "iteratedesignunits() (pyvhdlmodel.document method)": [[28, "pyVHDLModel.Document.IterateDesignUnits", false]], "iteratedesignunits() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.IterateDesignUnits", false]], "iteratedesignunits() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.IterateDesignUnits", false]], "iteratedesignunits() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.IterateDesignUnits", false]], "iteratedesignunits() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.IterateDesignUnits", false]], "iteratedocumentsincompileorder() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.IterateDocumentsInCompileOrder", false]], "label (pyvhdlmodel.base.labeledentitymixin property)": [[30, "pyVHDLModel.Base.LabeledEntityMixin.Label", false]], "label (pyvhdlmodel.common.statement property)": [[31, "pyVHDLModel.Common.Statement.Label", false]], "label (pyvhdlmodel.concurrent.casegeneratestatement property)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement.Label", false]], "label (pyvhdlmodel.concurrent.componentinstantiation property)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation.Label", false]], "label (pyvhdlmodel.concurrent.concurrentassertstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement.Label", false]], "label (pyvhdlmodel.concurrent.concurrentblockstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement.Label", false]], "label (pyvhdlmodel.concurrent.concurrentcase property)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase.Label", false]], "label (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment.Label", false]], "label (pyvhdlmodel.concurrent.concurrentprocedurecall property)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall.Label", false]], "label (pyvhdlmodel.concurrent.concurrentselectedsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment.Label", false]], "label (pyvhdlmodel.concurrent.concurrentsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment.Label", false]], "label (pyvhdlmodel.concurrent.concurrentsimplesignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment.Label", false]], "label (pyvhdlmodel.concurrent.concurrentstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement.Label", false]], "label (pyvhdlmodel.concurrent.configurationinstantiation property)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation.Label", false]], "label (pyvhdlmodel.concurrent.entityinstantiation property)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation.Label", false]], "label (pyvhdlmodel.concurrent.forgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement.Label", false]], "label (pyvhdlmodel.concurrent.generatecase property)": [[32, "pyVHDLModel.Concurrent.GenerateCase.Label", false]], "label (pyvhdlmodel.concurrent.generatestatement property)": [[32, "pyVHDLModel.Concurrent.GenerateStatement.Label", false]], "label (pyvhdlmodel.concurrent.ifgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement.Label", false]], "label (pyvhdlmodel.concurrent.instantiation property)": [[32, "pyVHDLModel.Concurrent.Instantiation.Label", false]], "label (pyvhdlmodel.concurrent.othersgeneratecase property)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase.Label", false]], "label (pyvhdlmodel.concurrent.processstatement property)": [[32, "pyVHDLModel.Concurrent.ProcessStatement.Label", false]], "label (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Label", false]], "label (pyvhdlmodel.sequential.casestatement property)": [[47, "pyVHDLModel.Sequential.CaseStatement.Label", false]], "label (pyvhdlmodel.sequential.compoundstatement property)": [[47, "pyVHDLModel.Sequential.CompoundStatement.Label", false]], "label (pyvhdlmodel.sequential.endlessloopstatement property)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement.Label", false]], "label (pyvhdlmodel.sequential.exitstatement property)": [[47, "pyVHDLModel.Sequential.ExitStatement.Label", false]], "label (pyvhdlmodel.sequential.forloopstatement property)": [[47, "pyVHDLModel.Sequential.ForLoopStatement.Label", false]], "label (pyvhdlmodel.sequential.ifstatement property)": [[47, "pyVHDLModel.Sequential.IfStatement.Label", false]], "label (pyvhdlmodel.sequential.loopcontrolstatement property)": [[47, "pyVHDLModel.Sequential.LoopControlStatement.Label", false]], "label (pyvhdlmodel.sequential.loopstatement property)": [[47, "pyVHDLModel.Sequential.LoopStatement.Label", false]], "label (pyvhdlmodel.sequential.nextstatement property)": [[47, "pyVHDLModel.Sequential.NextStatement.Label", false]], "label (pyvhdlmodel.sequential.nullstatement property)": [[47, "pyVHDLModel.Sequential.NullStatement.Label", false]], "label (pyvhdlmodel.sequential.returnstatement property)": [[47, "pyVHDLModel.Sequential.ReturnStatement.Label", false]], "label (pyvhdlmodel.sequential.sequentialassertstatement property)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement.Label", false]], "label (pyvhdlmodel.sequential.sequentialprocedurecall property)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall.Label", false]], "label (pyvhdlmodel.sequential.sequentialreportstatement property)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement.Label", false]], "label (pyvhdlmodel.sequential.sequentialsignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment.Label", false]], "label (pyvhdlmodel.sequential.sequentialsimplesignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment.Label", false]], "label (pyvhdlmodel.sequential.sequentialstatement property)": [[47, "pyVHDLModel.Sequential.SequentialStatement.Label", false]], "label (pyvhdlmodel.sequential.sequentialvariableassignment property)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment.Label", false]], "label (pyvhdlmodel.sequential.waitstatement property)": [[47, "pyVHDLModel.Sequential.WaitStatement.Label", false]], "label (pyvhdlmodel.sequential.whileloopstatement property)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement.Label", false]], "label (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Label", false]], "labeledentitymixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.LabeledEntityMixin", false]], "latest (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.Latest", false]], "lessequalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.LessEqualExpression", false]], "lessthanexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.LessThanExpression", false]], "libraries (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.Libraries", false]], "library (class in pyvhdlmodel)": [[28, "pyVHDLModel.Library", false]], "library (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.Library", false]], "library (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Library", false]], "libraryclause (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.LibraryClause", false]], "libraryexistsindesignerror": [[35, "pyVHDLModel.Exception.LibraryExistsInDesignError", false]], "librarynotregisterederror": [[35, "pyVHDLModel.Exception.LibraryNotRegisteredError", false]], "libraryreferences (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.LibraryReferences", false]], "libraryreferences (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.LibraryReferences", false]], "libraryreferencesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.LibraryReferenceSymbol", false]], "libraryregisteredtoforeigndesignerror": [[35, "pyVHDLModel.Exception.LibraryRegisteredToForeignDesignError", false]], "linkage (pyvhdlmodel.base.mode attribute)": [[30, "pyVHDLModel.Base.Mode.Linkage", false]], "linkarchitectures() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.LinkArchitectures", false]], "linkarchitectures() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.LinkArchitectures", false]], "linkarchitectures() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.LinkArchitectures", false]], "linkarchitectures() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.LinkArchitectures", false]], "linkarchitectures() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.LinkArchitectures", false]], "linkcontexts() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.LinkContexts", false]], "linkpackagebodies() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.LinkPackageBodies", false]], "linkpackagebodies() (pyvhdlmodel.ieee.ieee method)": [[37, "pyVHDLModel.IEEE.Ieee.LinkPackageBodies", false]], "linkpackagebodies() (pyvhdlmodel.library method)": [[28, "pyVHDLModel.Library.LinkPackageBodies", false]], "linkpackagebodies() (pyvhdlmodel.predefined.predefinedlibrary method)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.LinkPackageBodies", false]], "linkpackagebodies() (pyvhdlmodel.std.std method)": [[46, "pyVHDLModel.STD.Std.LinkPackageBodies", false]], "literal (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.Literal", false]], "literal (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Literal", false]], "loadieeelibrary() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.LoadIEEELibrary", false]], "loadstdlibrary() (pyvhdlmodel.design method)": [[28, "pyVHDLModel.Design.LoadStdLibrary", false]], "logicalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.LogicalExpression", false]], "loopcontrolstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.LoopControlStatement", false]], "loopstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.LoopStatement", false]], "lrm": [[10, "term-LRM", true]], "matchingequalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MatchingEqualExpression", false]], "matchinggreaterequalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MatchingGreaterEqualExpression", false]], "matchinggreaterthanexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MatchingGreaterThanExpression", false]], "matchinglessequalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MatchingLessEqualExpression", false]], "matchinglessthanexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MatchingLessThanExpression", false]], "matchingrelationalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MatchingRelationalExpression", false]], "matchingunequalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MatchingUnequalExpression", false]], "math_complex (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Math_Complex", false]], "math_complex_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body", false]], "math_real (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Math_Real", false]], "math_real_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Math_Real_Body", false]], "methodmixin (class in pyvhdlmodel.subprogram)": [[48, "pyVHDLModel.Subprogram.MethodMixin", false]], "mode (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.Mode", false]], "modelentity (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.ModelEntity", false]], "module": [[28, "module-pyVHDLModel", false], [29, "module-pyVHDLModel.Association", false], [30, "module-pyVHDLModel.Base", false], [31, "module-pyVHDLModel.Common", false], [32, "module-pyVHDLModel.Concurrent", false], [33, "module-pyVHDLModel.Declaration", false], [34, "module-pyVHDLModel.DesignUnit", false], [35, "module-pyVHDLModel.Exception", false], [36, "module-pyVHDLModel.Expression", false], [37, "module-pyVHDLModel.IEEE", false], [38, "module-pyVHDLModel.Instantiation", false], [39, "module-pyVHDLModel.Interface", false], [40, "module-pyVHDLModel.Name", false], [41, "module-pyVHDLModel.Namespace", false], [42, "module-pyVHDLModel.Object", false], [43, "module-pyVHDLModel.PSLModel", false], [44, "module-pyVHDLModel.Predefined", false], [45, "module-pyVHDLModel.Regions", false], [46, "module-pyVHDLModel.STD", false], [47, "module-pyVHDLModel.Sequential", false], [48, "module-pyVHDLModel.Subprogram", false], [49, "module-pyVHDLModel.Symbol", false], [50, "module-pyVHDLModel.Type", false]], "moduloexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ModuloExpression", false]], "multiplenamedentitymixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.MultipleNamedEntityMixin", false]], "multiplyexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MultiplyExpression", false]], "multiplyingexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.MultiplyingExpression", false]], "name (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.Name", false]], "namedaggregateelement (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.NamedAggregateElement", false]], "namedentitymixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.NamedEntityMixin", false]], "nandexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.NandExpression", false]], "negationexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.NegationExpression", false]], "nextstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.NextStatement", false]], "norexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.NorExpression", false]], "normalizedidentifier (pyvhdlmodel.base.namedentitymixin property)": [[30, "pyVHDLModel.Base.NamedEntityMixin.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.declaration.alias property)": [[33, "pyVHDLModel.Declaration.Alias.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.declaration.attribute property)": [[33, "pyVHDLModel.Declaration.Attribute.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.component property)": [[34, "pyVHDLModel.DesignUnit.Component.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.instantiation.functioninstantiation property)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.instantiation.procedureinstantiation property)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.interface.genericfunctioninterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.interface.genericprocedureinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.interface.generictypeinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.allname property)": [[40, "pyVHDLModel.Name.AllName.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.attributename property)": [[40, "pyVHDLModel.Name.AttributeName.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.indexedname property)": [[40, "pyVHDLModel.Name.IndexedName.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.name property)": [[40, "pyVHDLModel.Name.Name.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.openname property)": [[40, "pyVHDLModel.Name.OpenName.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.parenthesisname property)": [[40, "pyVHDLModel.Name.ParenthesisName.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.selectedname property)": [[40, "pyVHDLModel.Name.SelectedName.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.simplename property)": [[40, "pyVHDLModel.Name.SimpleName.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.name.slicedname property)": [[40, "pyVHDLModel.Name.SlicedName.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.pslmodel.defaultclock property)": [[43, "pyVHDLModel.PSLModel.DefaultClock.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.subprogram.function property)": [[48, "pyVHDLModel.Subprogram.Function.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.subprogram.functionmethod property)": [[48, "pyVHDLModel.Subprogram.FunctionMethod.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.subprogram.procedure property)": [[48, "pyVHDLModel.Subprogram.Procedure.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.subprogram.proceduremethod property)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.subprogram.subprogram property)": [[48, "pyVHDLModel.Subprogram.Subprogram.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.accesstype property)": [[50, "pyVHDLModel.Type.AccessType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.anonymoustype property)": [[50, "pyVHDLModel.Type.AnonymousType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.arraytype property)": [[50, "pyVHDLModel.Type.ArrayType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.basetype property)": [[50, "pyVHDLModel.Type.BaseType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.compositetype property)": [[50, "pyVHDLModel.Type.CompositeType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.enumeratedtype property)": [[50, "pyVHDLModel.Type.EnumeratedType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.filetype property)": [[50, "pyVHDLModel.Type.FileType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.fulltype property)": [[50, "pyVHDLModel.Type.FullType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.integertype property)": [[50, "pyVHDLModel.Type.IntegerType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.physicaltype property)": [[50, "pyVHDLModel.Type.PhysicalType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.protectedtype property)": [[50, "pyVHDLModel.Type.ProtectedType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.protectedtypebody property)": [[50, "pyVHDLModel.Type.ProtectedTypeBody.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.rangedscalartype property)": [[50, "pyVHDLModel.Type.RangedScalarType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.realtype property)": [[50, "pyVHDLModel.Type.RealType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.recordtype property)": [[50, "pyVHDLModel.Type.RecordType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.scalartype property)": [[50, "pyVHDLModel.Type.ScalarType.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.subtype property)": [[50, "pyVHDLModel.Type.Subtype.NormalizedIdentifier", false]], "normalizedidentifier (pyvhdlmodel.type.type property)": [[50, "pyVHDLModel.Type.Type.NormalizedIdentifier", false]], "normalizedidentifiers (pyvhdlmodel.base.multiplenamedentitymixin property)": [[30, "pyVHDLModel.Base.MultipleNamedEntityMixin.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.interface.genericconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.interface.parameterconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.interface.parameterfileinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.interface.parametersignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.interface.parametervariableinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.interface.portsignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.object.baseconstant property)": [[42, "pyVHDLModel.Object.BaseConstant.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.object.constant property)": [[42, "pyVHDLModel.Object.Constant.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.object.deferredconstant property)": [[42, "pyVHDLModel.Object.DeferredConstant.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.object.file property)": [[42, "pyVHDLModel.Object.File.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.object.obj property)": [[42, "pyVHDLModel.Object.Obj.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.object.sharedvariable property)": [[42, "pyVHDLModel.Object.SharedVariable.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.object.signal property)": [[42, "pyVHDLModel.Object.Signal.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.object.variable property)": [[42, "pyVHDLModel.Object.Variable.NormalizedIdentifiers", false]], "normalizedidentifiers (pyvhdlmodel.type.recordtypeelement property)": [[50, "pyVHDLModel.Type.RecordTypeElement.NormalizedIdentifiers", false]], "normalizedlabel (pyvhdlmodel.base.labeledentitymixin property)": [[30, "pyVHDLModel.Base.LabeledEntityMixin.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.common.statement property)": [[31, "pyVHDLModel.Common.Statement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.casegeneratestatement property)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.componentinstantiation property)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentassertstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentblockstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentcase property)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentprocedurecall property)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentselectedsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentsimplesignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.concurrentstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.configurationinstantiation property)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.entityinstantiation property)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.forgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.generatecase property)": [[32, "pyVHDLModel.Concurrent.GenerateCase.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.generatestatement property)": [[32, "pyVHDLModel.Concurrent.GenerateStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.ifgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.instantiation property)": [[32, "pyVHDLModel.Concurrent.Instantiation.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.othersgeneratecase property)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.concurrent.processstatement property)": [[32, "pyVHDLModel.Concurrent.ProcessStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.casestatement property)": [[47, "pyVHDLModel.Sequential.CaseStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.compoundstatement property)": [[47, "pyVHDLModel.Sequential.CompoundStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.endlessloopstatement property)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.exitstatement property)": [[47, "pyVHDLModel.Sequential.ExitStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.forloopstatement property)": [[47, "pyVHDLModel.Sequential.ForLoopStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.ifstatement property)": [[47, "pyVHDLModel.Sequential.IfStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.loopcontrolstatement property)": [[47, "pyVHDLModel.Sequential.LoopControlStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.loopstatement property)": [[47, "pyVHDLModel.Sequential.LoopStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.nextstatement property)": [[47, "pyVHDLModel.Sequential.NextStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.nullstatement property)": [[47, "pyVHDLModel.Sequential.NullStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.returnstatement property)": [[47, "pyVHDLModel.Sequential.ReturnStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.sequentialassertstatement property)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.sequentialprocedurecall property)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.sequentialreportstatement property)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.sequentialsignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.sequentialsimplesignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.sequentialstatement property)": [[47, "pyVHDLModel.Sequential.SequentialStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.sequentialvariableassignment property)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.waitstatement property)": [[47, "pyVHDLModel.Sequential.WaitStatement.NormalizedLabel", false]], "normalizedlabel (pyvhdlmodel.sequential.whileloopstatement property)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement.NormalizedLabel", false]], "nullliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.NullLiteral", false]], "nullstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.NullStatement", false]], "numeric_bit (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Numeric_Bit", false]], "numeric_bit_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body", false]], "numeric_bit_unsigned (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned", false]], "numeric_bit_unsigned_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body", false]], "numeric_std (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Numeric_Std", false]], "numeric_std_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body", false]], "numeric_std_unsigned (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned", false]], "numeric_std_unsigned_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body", false]], "numericliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.NumericLiteral", false]], "numerictypemixin (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.NumericTypeMixin", false]], "obj (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.Obj", false]], "objectclass (class in pyvhdlmodel)": [[28, "pyVHDLModel.ObjectClass", false]], "objectgraph (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.ObjectGraph", false]], "objectgraphedgekind (class in pyvhdlmodel)": [[28, "pyVHDLModel.ObjectGraphEdgeKind", false]], "objectgraphvertexkind (class in pyvhdlmodel)": [[28, "pyVHDLModel.ObjectGraphVertexKind", false]], "objectvertex (pyvhdlmodel.interface.genericconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem.ObjectVertex", false]], "objectvertex (pyvhdlmodel.interface.parameterconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem.ObjectVertex", false]], "objectvertex (pyvhdlmodel.interface.parameterfileinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem.ObjectVertex", false]], "objectvertex (pyvhdlmodel.interface.parametersignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem.ObjectVertex", false]], "objectvertex (pyvhdlmodel.interface.parametervariableinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem.ObjectVertex", false]], "objectvertex (pyvhdlmodel.interface.portsignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem.ObjectVertex", false]], "objectvertex (pyvhdlmodel.object.baseconstant property)": [[42, "pyVHDLModel.Object.BaseConstant.ObjectVertex", false]], "objectvertex (pyvhdlmodel.object.constant property)": [[42, "pyVHDLModel.Object.Constant.ObjectVertex", false]], "objectvertex (pyvhdlmodel.object.deferredconstant property)": [[42, "pyVHDLModel.Object.DeferredConstant.ObjectVertex", false]], "objectvertex (pyvhdlmodel.object.file property)": [[42, "pyVHDLModel.Object.File.ObjectVertex", false]], "objectvertex (pyvhdlmodel.object.obj property)": [[42, "pyVHDLModel.Object.Obj.ObjectVertex", false]], "objectvertex (pyvhdlmodel.object.sharedvariable property)": [[42, "pyVHDLModel.Object.SharedVariable.ObjectVertex", false]], "objectvertex (pyvhdlmodel.object.signal property)": [[42, "pyVHDLModel.Object.Signal.ObjectVertex", false]], "objectvertex (pyvhdlmodel.object.variable property)": [[42, "pyVHDLModel.Object.Variable.ObjectVertex", false]], "openname (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.OpenName", false]], "orexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.OrExpression", false]], "others (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Others", false]], "othersaggregateelement (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.OthersAggregateElement", false]], "otherscase (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.OthersCase", false]], "othersgeneratecase (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase", false]], "out (pyvhdlmodel.base.mode attribute)": [[30, "pyVHDLModel.Base.Mode.Out", false]], "package (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.Package", false]], "package (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Package", false]], "package (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.Package", false]], "package (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.Package", false]], "package (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Package", false]], "packagebodies (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.PackageBodies", false]], "packagebodies (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.PackageBodies", false]], "packagebodies (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.PackageBodies", false]], "packagebodies (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.PackageBodies", false]], "packagebodies (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.PackageBodies", false]], "packagebody (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.PackageBody", false]], "packagebody (pyvhdlmodel.dependencygraphvertexkind attribute)": [[28, "pyVHDLModel.DependencyGraphVertexKind.PackageBody", false]], "packagebody (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.PackageBody", false]], "packagebodyexistserror": [[35, "pyVHDLModel.Exception.PackageBodyExistsError", false]], "packageexistsinlibraryerror": [[35, "pyVHDLModel.Exception.PackageExistsInLibraryError", false]], "packageinstantiation (class in pyvhdlmodel.instantiation)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation", false]], "packagemember (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.PackageMember", false]], "packagememberreferencesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.PackageMemberReferenceSymbol", false]], "packagereferences (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.PackageReferences", false]], "packagereferences (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.PackageReferences", false]], "packagereferences (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.PackageReferences", false]], "packagereferences (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.PackageReferences", false]], "packagereferences (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.PackageReferences", false]], "packagereferences (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.PackageReferences", false]], "packagereferences (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.PackageReferences", false]], "packagereferences (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.PackageReferences", false]], "packagereferences (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.PackageReferences", false]], "packagereferences (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.PackageReferences", false]], "packagereferences (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.PackageReferences", false]], "packagereferences (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.PackageReferences", false]], "packagereferences (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.PackageReferences", false]], "packagereferences (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.PackageReferences", false]], "packagereferences (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.PackageReferences", false]], "packagereferences (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.PackageReferences", false]], "packagereferences (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.PackageReferences", false]], "packagereferences (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.PackageReferences", false]], "packagereferences (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.PackageReferences", false]], "packagereferences (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.PackageReferences", false]], "packagereferences (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.PackageReferences", false]], "packagereferencesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.PackageReferenceSymbol", false]], "packages (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.Packages", false]], "packages (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.Packages", false]], "packages (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.Packages", false]], "packages (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.Packages", false]], "packages (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.Packages", false]], "packagesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.PackageSymbol", false]], "parameterassociationitem (class in pyvhdlmodel.association)": [[29, "pyVHDLModel.Association.ParameterAssociationItem", false]], "parameterconstantinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem", false]], "parameterfileinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem", false]], "parameterinterfaceitemmixin (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.ParameterInterfaceItemMixin", false]], "parametersignalinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem", false]], "parametervariableinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem", false]], "parent (pyvhdlmodel.association.associationitem property)": [[29, "pyVHDLModel.Association.AssociationItem.Parent", false]], "parent (pyvhdlmodel.association.genericassociationitem property)": [[29, "pyVHDLModel.Association.GenericAssociationItem.Parent", false]], "parent (pyvhdlmodel.association.parameterassociationitem property)": [[29, "pyVHDLModel.Association.ParameterAssociationItem.Parent", false]], "parent (pyvhdlmodel.association.portassociationitem property)": [[29, "pyVHDLModel.Association.PortAssociationItem.Parent", false]], "parent (pyvhdlmodel.base.basecase property)": [[30, "pyVHDLModel.Base.BaseCase.Parent", false]], "parent (pyvhdlmodel.base.basechoice property)": [[30, "pyVHDLModel.Base.BaseChoice.Parent", false]], "parent (pyvhdlmodel.base.modelentity property)": [[30, "pyVHDLModel.Base.ModelEntity.Parent", false]], "parent (pyvhdlmodel.base.range property)": [[30, "pyVHDLModel.Base.Range.Parent", false]], "parent (pyvhdlmodel.base.waveformelement property)": [[30, "pyVHDLModel.Base.WaveformElement.Parent", false]], "parent (pyvhdlmodel.common.statement property)": [[31, "pyVHDLModel.Common.Statement.Parent", false]], "parent (pyvhdlmodel.concurrent.casegeneratestatement property)": [[32, "pyVHDLModel.Concurrent.CaseGenerateStatement.Parent", false]], "parent (pyvhdlmodel.concurrent.componentinstantiation property)": [[32, "pyVHDLModel.Concurrent.ComponentInstantiation.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentassertstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentAssertStatement.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentblockstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentBlockStatement.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentcase property)": [[32, "pyVHDLModel.Concurrent.ConcurrentCase.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentchoice property)": [[32, "pyVHDLModel.Concurrent.ConcurrentChoice.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentconditionalsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentprocedurecall property)": [[32, "pyVHDLModel.Concurrent.ConcurrentProcedureCall.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentselectedsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentsignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSignalAssignment.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentsimplesignalassignment property)": [[32, "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment.Parent", false]], "parent (pyvhdlmodel.concurrent.concurrentstatement property)": [[32, "pyVHDLModel.Concurrent.ConcurrentStatement.Parent", false]], "parent (pyvhdlmodel.concurrent.configurationinstantiation property)": [[32, "pyVHDLModel.Concurrent.ConfigurationInstantiation.Parent", false]], "parent (pyvhdlmodel.concurrent.elsegeneratebranch property)": [[32, "pyVHDLModel.Concurrent.ElseGenerateBranch.Parent", false]], "parent (pyvhdlmodel.concurrent.elsifgeneratebranch property)": [[32, "pyVHDLModel.Concurrent.ElsifGenerateBranch.Parent", false]], "parent (pyvhdlmodel.concurrent.entityinstantiation property)": [[32, "pyVHDLModel.Concurrent.EntityInstantiation.Parent", false]], "parent (pyvhdlmodel.concurrent.forgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.ForGenerateStatement.Parent", false]], "parent (pyvhdlmodel.concurrent.generatebranch property)": [[32, "pyVHDLModel.Concurrent.GenerateBranch.Parent", false]], "parent (pyvhdlmodel.concurrent.generatecase property)": [[32, "pyVHDLModel.Concurrent.GenerateCase.Parent", false]], "parent (pyvhdlmodel.concurrent.generatestatement property)": [[32, "pyVHDLModel.Concurrent.GenerateStatement.Parent", false]], "parent (pyvhdlmodel.concurrent.ifgeneratebranch property)": [[32, "pyVHDLModel.Concurrent.IfGenerateBranch.Parent", false]], "parent (pyvhdlmodel.concurrent.ifgeneratestatement property)": [[32, "pyVHDLModel.Concurrent.IfGenerateStatement.Parent", false]], "parent (pyvhdlmodel.concurrent.indexedgeneratechoice property)": [[32, "pyVHDLModel.Concurrent.IndexedGenerateChoice.Parent", false]], "parent (pyvhdlmodel.concurrent.instantiation property)": [[32, "pyVHDLModel.Concurrent.Instantiation.Parent", false]], "parent (pyvhdlmodel.concurrent.othersgeneratecase property)": [[32, "pyVHDLModel.Concurrent.OthersGenerateCase.Parent", false]], "parent (pyvhdlmodel.concurrent.processstatement property)": [[32, "pyVHDLModel.Concurrent.ProcessStatement.Parent", false]], "parent (pyvhdlmodel.concurrent.rangedgeneratechoice property)": [[32, "pyVHDLModel.Concurrent.RangedGenerateChoice.Parent", false]], "parent (pyvhdlmodel.declaration.alias property)": [[33, "pyVHDLModel.Declaration.Alias.Parent", false]], "parent (pyvhdlmodel.declaration.attribute property)": [[33, "pyVHDLModel.Declaration.Attribute.Parent", false]], "parent (pyvhdlmodel.declaration.attributespecification property)": [[33, "pyVHDLModel.Declaration.AttributeSpecification.Parent", false]], "parent (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.Parent", false]], "parent (pyvhdlmodel.designunit.architecture property)": [[34, "pyVHDLModel.DesignUnit.Architecture.Parent", false]], "parent (pyvhdlmodel.designunit.component property)": [[34, "pyVHDLModel.DesignUnit.Component.Parent", false]], "parent (pyvhdlmodel.designunit.configuration property)": [[34, "pyVHDLModel.DesignUnit.Configuration.Parent", false]], "parent (pyvhdlmodel.designunit.context property)": [[34, "pyVHDLModel.DesignUnit.Context.Parent", false]], "parent (pyvhdlmodel.designunit.contextreference property)": [[34, "pyVHDLModel.DesignUnit.ContextReference.Parent", false]], "parent (pyvhdlmodel.designunit.designunit property)": [[34, "pyVHDLModel.DesignUnit.DesignUnit.Parent", false]], "parent (pyvhdlmodel.designunit.entity property)": [[34, "pyVHDLModel.DesignUnit.Entity.Parent", false]], "parent (pyvhdlmodel.designunit.libraryclause property)": [[34, "pyVHDLModel.DesignUnit.LibraryClause.Parent", false]], "parent (pyvhdlmodel.designunit.package property)": [[34, "pyVHDLModel.DesignUnit.Package.Parent", false]], "parent (pyvhdlmodel.designunit.packagebody property)": [[34, "pyVHDLModel.DesignUnit.PackageBody.Parent", false]], "parent (pyvhdlmodel.designunit.primaryunit property)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit.Parent", false]], "parent (pyvhdlmodel.designunit.reference property)": [[34, "pyVHDLModel.DesignUnit.Reference.Parent", false]], "parent (pyvhdlmodel.designunit.secondaryunit property)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit.Parent", false]], "parent (pyvhdlmodel.designunit.useclause property)": [[34, "pyVHDLModel.DesignUnit.UseClause.Parent", false]], "parent (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.Parent", false]], "parent (pyvhdlmodel.expression.absoluteexpression property)": [[36, "pyVHDLModel.Expression.AbsoluteExpression.Parent", false]], "parent (pyvhdlmodel.expression.addingexpression property)": [[36, "pyVHDLModel.Expression.AddingExpression.Parent", false]], "parent (pyvhdlmodel.expression.additionexpression property)": [[36, "pyVHDLModel.Expression.AdditionExpression.Parent", false]], "parent (pyvhdlmodel.expression.aggregate property)": [[36, "pyVHDLModel.Expression.Aggregate.Parent", false]], "parent (pyvhdlmodel.expression.aggregateelement property)": [[36, "pyVHDLModel.Expression.AggregateElement.Parent", false]], "parent (pyvhdlmodel.expression.allocation property)": [[36, "pyVHDLModel.Expression.Allocation.Parent", false]], "parent (pyvhdlmodel.expression.andexpression property)": [[36, "pyVHDLModel.Expression.AndExpression.Parent", false]], "parent (pyvhdlmodel.expression.ascendingrangeexpression property)": [[36, "pyVHDLModel.Expression.AscendingRangeExpression.Parent", false]], "parent (pyvhdlmodel.expression.baseexpression property)": [[36, "pyVHDLModel.Expression.BaseExpression.Parent", false]], "parent (pyvhdlmodel.expression.binaryexpression property)": [[36, "pyVHDLModel.Expression.BinaryExpression.Parent", false]], "parent (pyvhdlmodel.expression.bitstringliteral property)": [[36, "pyVHDLModel.Expression.BitStringLiteral.Parent", false]], "parent (pyvhdlmodel.expression.characterliteral property)": [[36, "pyVHDLModel.Expression.CharacterLiteral.Parent", false]], "parent (pyvhdlmodel.expression.concatenationexpression property)": [[36, "pyVHDLModel.Expression.ConcatenationExpression.Parent", false]], "parent (pyvhdlmodel.expression.descendingrangeexpression property)": [[36, "pyVHDLModel.Expression.DescendingRangeExpression.Parent", false]], "parent (pyvhdlmodel.expression.divisionexpression property)": [[36, "pyVHDLModel.Expression.DivisionExpression.Parent", false]], "parent (pyvhdlmodel.expression.enumerationliteral property)": [[36, "pyVHDLModel.Expression.EnumerationLiteral.Parent", false]], "parent (pyvhdlmodel.expression.equalexpression property)": [[36, "pyVHDLModel.Expression.EqualExpression.Parent", false]], "parent (pyvhdlmodel.expression.exponentiationexpression property)": [[36, "pyVHDLModel.Expression.ExponentiationExpression.Parent", false]], "parent (pyvhdlmodel.expression.floatingpointliteral property)": [[36, "pyVHDLModel.Expression.FloatingPointLiteral.Parent", false]], "parent (pyvhdlmodel.expression.functioncall property)": [[36, "pyVHDLModel.Expression.FunctionCall.Parent", false]], "parent (pyvhdlmodel.expression.greaterequalexpression property)": [[36, "pyVHDLModel.Expression.GreaterEqualExpression.Parent", false]], "parent (pyvhdlmodel.expression.greaterthanexpression property)": [[36, "pyVHDLModel.Expression.GreaterThanExpression.Parent", false]], "parent (pyvhdlmodel.expression.identityexpression property)": [[36, "pyVHDLModel.Expression.IdentityExpression.Parent", false]], "parent (pyvhdlmodel.expression.indexedaggregateelement property)": [[36, "pyVHDLModel.Expression.IndexedAggregateElement.Parent", false]], "parent (pyvhdlmodel.expression.integerliteral property)": [[36, "pyVHDLModel.Expression.IntegerLiteral.Parent", false]], "parent (pyvhdlmodel.expression.inverseexpression property)": [[36, "pyVHDLModel.Expression.InverseExpression.Parent", false]], "parent (pyvhdlmodel.expression.lessequalexpression property)": [[36, "pyVHDLModel.Expression.LessEqualExpression.Parent", false]], "parent (pyvhdlmodel.expression.lessthanexpression property)": [[36, "pyVHDLModel.Expression.LessThanExpression.Parent", false]], "parent (pyvhdlmodel.expression.literal property)": [[36, "pyVHDLModel.Expression.Literal.Parent", false]], "parent (pyvhdlmodel.expression.logicalexpression property)": [[36, "pyVHDLModel.Expression.LogicalExpression.Parent", false]], "parent (pyvhdlmodel.expression.matchingequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingEqualExpression.Parent", false]], "parent (pyvhdlmodel.expression.matchinggreaterequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingGreaterEqualExpression.Parent", false]], "parent (pyvhdlmodel.expression.matchinggreaterthanexpression property)": [[36, "pyVHDLModel.Expression.MatchingGreaterThanExpression.Parent", false]], "parent (pyvhdlmodel.expression.matchinglessequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingLessEqualExpression.Parent", false]], "parent (pyvhdlmodel.expression.matchinglessthanexpression property)": [[36, "pyVHDLModel.Expression.MatchingLessThanExpression.Parent", false]], "parent (pyvhdlmodel.expression.matchingrelationalexpression property)": [[36, "pyVHDLModel.Expression.MatchingRelationalExpression.Parent", false]], "parent (pyvhdlmodel.expression.matchingunequalexpression property)": [[36, "pyVHDLModel.Expression.MatchingUnequalExpression.Parent", false]], "parent (pyvhdlmodel.expression.moduloexpression property)": [[36, "pyVHDLModel.Expression.ModuloExpression.Parent", false]], "parent (pyvhdlmodel.expression.multiplyexpression property)": [[36, "pyVHDLModel.Expression.MultiplyExpression.Parent", false]], "parent (pyvhdlmodel.expression.multiplyingexpression property)": [[36, "pyVHDLModel.Expression.MultiplyingExpression.Parent", false]], "parent (pyvhdlmodel.expression.namedaggregateelement property)": [[36, "pyVHDLModel.Expression.NamedAggregateElement.Parent", false]], "parent (pyvhdlmodel.expression.nandexpression property)": [[36, "pyVHDLModel.Expression.NandExpression.Parent", false]], "parent (pyvhdlmodel.expression.negationexpression property)": [[36, "pyVHDLModel.Expression.NegationExpression.Parent", false]], "parent (pyvhdlmodel.expression.norexpression property)": [[36, "pyVHDLModel.Expression.NorExpression.Parent", false]], "parent (pyvhdlmodel.expression.nullliteral property)": [[36, "pyVHDLModel.Expression.NullLiteral.Parent", false]], "parent (pyvhdlmodel.expression.numericliteral property)": [[36, "pyVHDLModel.Expression.NumericLiteral.Parent", false]], "parent (pyvhdlmodel.expression.orexpression property)": [[36, "pyVHDLModel.Expression.OrExpression.Parent", false]], "parent (pyvhdlmodel.expression.othersaggregateelement property)": [[36, "pyVHDLModel.Expression.OthersAggregateElement.Parent", false]], "parent (pyvhdlmodel.expression.physicalfloatingliteral property)": [[36, "pyVHDLModel.Expression.PhysicalFloatingLiteral.Parent", false]], "parent (pyvhdlmodel.expression.physicalintegerliteral property)": [[36, "pyVHDLModel.Expression.PhysicalIntegerLiteral.Parent", false]], "parent (pyvhdlmodel.expression.physicalliteral property)": [[36, "pyVHDLModel.Expression.PhysicalLiteral.Parent", false]], "parent (pyvhdlmodel.expression.qualifiedexpression property)": [[36, "pyVHDLModel.Expression.QualifiedExpression.Parent", false]], "parent (pyvhdlmodel.expression.qualifiedexpressionallocation property)": [[36, "pyVHDLModel.Expression.QualifiedExpressionAllocation.Parent", false]], "parent (pyvhdlmodel.expression.rangedaggregateelement property)": [[36, "pyVHDLModel.Expression.RangedAggregateElement.Parent", false]], "parent (pyvhdlmodel.expression.rangeexpression property)": [[36, "pyVHDLModel.Expression.RangeExpression.Parent", false]], "parent (pyvhdlmodel.expression.relationalexpression property)": [[36, "pyVHDLModel.Expression.RelationalExpression.Parent", false]], "parent (pyvhdlmodel.expression.remainderexpression property)": [[36, "pyVHDLModel.Expression.RemainderExpression.Parent", false]], "parent (pyvhdlmodel.expression.rotateexpression property)": [[36, "pyVHDLModel.Expression.RotateExpression.Parent", false]], "parent (pyvhdlmodel.expression.rotateleftexpression property)": [[36, "pyVHDLModel.Expression.RotateLeftExpression.Parent", false]], "parent (pyvhdlmodel.expression.rotaterightexpression property)": [[36, "pyVHDLModel.Expression.RotateRightExpression.Parent", false]], "parent (pyvhdlmodel.expression.shiftarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftArithmeticExpression.Parent", false]], "parent (pyvhdlmodel.expression.shiftexpression property)": [[36, "pyVHDLModel.Expression.ShiftExpression.Parent", false]], "parent (pyvhdlmodel.expression.shiftleftarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftLeftArithmeticExpression.Parent", false]], "parent (pyvhdlmodel.expression.shiftleftlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftLeftLogicExpression.Parent", false]], "parent (pyvhdlmodel.expression.shiftlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftLogicExpression.Parent", false]], "parent (pyvhdlmodel.expression.shiftrightarithmeticexpression property)": [[36, "pyVHDLModel.Expression.ShiftRightArithmeticExpression.Parent", false]], "parent (pyvhdlmodel.expression.shiftrightlogicexpression property)": [[36, "pyVHDLModel.Expression.ShiftRightLogicExpression.Parent", false]], "parent (pyvhdlmodel.expression.simpleaggregateelement property)": [[36, "pyVHDLModel.Expression.SimpleAggregateElement.Parent", false]], "parent (pyvhdlmodel.expression.stringliteral property)": [[36, "pyVHDLModel.Expression.StringLiteral.Parent", false]], "parent (pyvhdlmodel.expression.subexpression property)": [[36, "pyVHDLModel.Expression.SubExpression.Parent", false]], "parent (pyvhdlmodel.expression.subtractionexpression property)": [[36, "pyVHDLModel.Expression.SubtractionExpression.Parent", false]], "parent (pyvhdlmodel.expression.subtypeallocation property)": [[36, "pyVHDLModel.Expression.SubtypeAllocation.Parent", false]], "parent (pyvhdlmodel.expression.ternaryexpression property)": [[36, "pyVHDLModel.Expression.TernaryExpression.Parent", false]], "parent (pyvhdlmodel.expression.typeconversion property)": [[36, "pyVHDLModel.Expression.TypeConversion.Parent", false]], "parent (pyvhdlmodel.expression.unaryandexpression property)": [[36, "pyVHDLModel.Expression.UnaryAndExpression.Parent", false]], "parent (pyvhdlmodel.expression.unaryexpression property)": [[36, "pyVHDLModel.Expression.UnaryExpression.Parent", false]], "parent (pyvhdlmodel.expression.unarynandexpression property)": [[36, "pyVHDLModel.Expression.UnaryNandExpression.Parent", false]], "parent (pyvhdlmodel.expression.unarynorexpression property)": [[36, "pyVHDLModel.Expression.UnaryNorExpression.Parent", false]], "parent (pyvhdlmodel.expression.unaryorexpression property)": [[36, "pyVHDLModel.Expression.UnaryOrExpression.Parent", false]], "parent (pyvhdlmodel.expression.unaryxnorexpression property)": [[36, "pyVHDLModel.Expression.UnaryXnorExpression.Parent", false]], "parent (pyvhdlmodel.expression.unaryxorexpression property)": [[36, "pyVHDLModel.Expression.UnaryXorExpression.Parent", false]], "parent (pyvhdlmodel.expression.unequalexpression property)": [[36, "pyVHDLModel.Expression.UnequalExpression.Parent", false]], "parent (pyvhdlmodel.expression.whenelseexpression property)": [[36, "pyVHDLModel.Expression.WhenElseExpression.Parent", false]], "parent (pyvhdlmodel.expression.xnorexpression property)": [[36, "pyVHDLModel.Expression.XnorExpression.Parent", false]], "parent (pyvhdlmodel.expression.xorexpression property)": [[36, "pyVHDLModel.Expression.XorExpression.Parent", false]], "parent (pyvhdlmodel.ieee.fixed_float_types property)": [[37, "pyVHDLModel.IEEE.Fixed_Float_Types.Parent", false]], "parent (pyvhdlmodel.ieee.fixed_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg.Parent", false]], "parent (pyvhdlmodel.ieee.fixed_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body.Parent", false]], "parent (pyvhdlmodel.ieee.fixed_pkg property)": [[37, "pyVHDLModel.IEEE.Fixed_Pkg.Parent", false]], "parent (pyvhdlmodel.ieee.float_generic_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg.Parent", false]], "parent (pyvhdlmodel.ieee.float_generic_pkg_body property)": [[37, "pyVHDLModel.IEEE.Float_Generic_Pkg_Body.Parent", false]], "parent (pyvhdlmodel.ieee.float_pkg property)": [[37, "pyVHDLModel.IEEE.Float_Pkg.Parent", false]], "parent (pyvhdlmodel.ieee.ieee property)": [[37, "pyVHDLModel.IEEE.Ieee.Parent", false]], "parent (pyvhdlmodel.ieee.math_complex property)": [[37, "pyVHDLModel.IEEE.Math_Complex.Parent", false]], "parent (pyvhdlmodel.ieee.math_complex_body property)": [[37, "pyVHDLModel.IEEE.Math_Complex_Body.Parent", false]], "parent (pyvhdlmodel.ieee.math_real property)": [[37, "pyVHDLModel.IEEE.Math_Real.Parent", false]], "parent (pyvhdlmodel.ieee.math_real_body property)": [[37, "pyVHDLModel.IEEE.Math_Real_Body.Parent", false]], "parent (pyvhdlmodel.ieee.numeric_bit property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit.Parent", false]], "parent (pyvhdlmodel.ieee.numeric_bit_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Body.Parent", false]], "parent (pyvhdlmodel.ieee.numeric_bit_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned.Parent", false]], "parent (pyvhdlmodel.ieee.numeric_bit_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body.Parent", false]], "parent (pyvhdlmodel.ieee.numeric_std property)": [[37, "pyVHDLModel.IEEE.Numeric_Std.Parent", false]], "parent (pyvhdlmodel.ieee.numeric_std_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Body.Parent", false]], "parent (pyvhdlmodel.ieee.numeric_std_unsigned property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned.Parent", false]], "parent (pyvhdlmodel.ieee.numeric_std_unsigned_body property)": [[37, "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body.Parent", false]], "parent (pyvhdlmodel.ieee.std_logic_1164 property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164.Parent", false]], "parent (pyvhdlmodel.ieee.std_logic_1164_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body.Parent", false]], "parent (pyvhdlmodel.ieee.std_logic_misc property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc.Parent", false]], "parent (pyvhdlmodel.ieee.std_logic_misc_body property)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body.Parent", false]], "parent (pyvhdlmodel.ieee.std_logic_textio property)": [[37, "pyVHDLModel.IEEE.std_logic_textio.Parent", false]], "parent (pyvhdlmodel.instantiation.functioninstantiation property)": [[38, "pyVHDLModel.Instantiation.FunctionInstantiation.Parent", false]], "parent (pyvhdlmodel.instantiation.packageinstantiation property)": [[38, "pyVHDLModel.Instantiation.PackageInstantiation.Parent", false]], "parent (pyvhdlmodel.instantiation.procedureinstantiation property)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation.Parent", false]], "parent (pyvhdlmodel.interface.genericconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericConstantInterfaceItem.Parent", false]], "parent (pyvhdlmodel.interface.genericfunctioninterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericFunctionInterfaceItem.Parent", false]], "parent (pyvhdlmodel.interface.genericprocedureinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericProcedureInterfaceItem.Parent", false]], "parent (pyvhdlmodel.interface.generictypeinterfaceitem property)": [[39, "pyVHDLModel.Interface.GenericTypeInterfaceItem.Parent", false]], "parent (pyvhdlmodel.interface.parameterconstantinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterConstantInterfaceItem.Parent", false]], "parent (pyvhdlmodel.interface.parameterfileinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterFileInterfaceItem.Parent", false]], "parent (pyvhdlmodel.interface.parametersignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterSignalInterfaceItem.Parent", false]], "parent (pyvhdlmodel.interface.parametervariableinterfaceitem property)": [[39, "pyVHDLModel.Interface.ParameterVariableInterfaceItem.Parent", false]], "parent (pyvhdlmodel.interface.portsignalinterfaceitem property)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem.Parent", false]], "parent (pyvhdlmodel.library property)": [[28, "pyVHDLModel.Library.Parent", false]], "parent (pyvhdlmodel.name.allname property)": [[40, "pyVHDLModel.Name.AllName.Parent", false]], "parent (pyvhdlmodel.name.attributename property)": [[40, "pyVHDLModel.Name.AttributeName.Parent", false]], "parent (pyvhdlmodel.name.indexedname property)": [[40, "pyVHDLModel.Name.IndexedName.Parent", false]], "parent (pyvhdlmodel.name.name property)": [[40, "pyVHDLModel.Name.Name.Parent", false]], "parent (pyvhdlmodel.name.openname property)": [[40, "pyVHDLModel.Name.OpenName.Parent", false]], "parent (pyvhdlmodel.name.parenthesisname property)": [[40, "pyVHDLModel.Name.ParenthesisName.Parent", false]], "parent (pyvhdlmodel.name.selectedname property)": [[40, "pyVHDLModel.Name.SelectedName.Parent", false]], "parent (pyvhdlmodel.name.simplename property)": [[40, "pyVHDLModel.Name.SimpleName.Parent", false]], "parent (pyvhdlmodel.name.slicedname property)": [[40, "pyVHDLModel.Name.SlicedName.Parent", false]], "parent (pyvhdlmodel.object.baseconstant property)": [[42, "pyVHDLModel.Object.BaseConstant.Parent", false]], "parent (pyvhdlmodel.object.constant property)": [[42, "pyVHDLModel.Object.Constant.Parent", false]], "parent (pyvhdlmodel.object.deferredconstant property)": [[42, "pyVHDLModel.Object.DeferredConstant.Parent", false]], "parent (pyvhdlmodel.object.file property)": [[42, "pyVHDLModel.Object.File.Parent", false]], "parent (pyvhdlmodel.object.obj property)": [[42, "pyVHDLModel.Object.Obj.Parent", false]], "parent (pyvhdlmodel.object.sharedvariable property)": [[42, "pyVHDLModel.Object.SharedVariable.Parent", false]], "parent (pyvhdlmodel.object.signal property)": [[42, "pyVHDLModel.Object.Signal.Parent", false]], "parent (pyvhdlmodel.object.variable property)": [[42, "pyVHDLModel.Object.Variable.Parent", false]], "parent (pyvhdlmodel.predefined.predefinedlibrary property)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary.Parent", false]], "parent (pyvhdlmodel.predefined.predefinedpackage property)": [[44, "pyVHDLModel.Predefined.PredefinedPackage.Parent", false]], "parent (pyvhdlmodel.predefined.predefinedpackagebody property)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody.Parent", false]], "parent (pyvhdlmodel.pslmodel.defaultclock property)": [[43, "pyVHDLModel.PSLModel.DefaultClock.Parent", false]], "parent (pyvhdlmodel.pslmodel.pslentity property)": [[43, "pyVHDLModel.PSLModel.PSLEntity.Parent", false]], "parent (pyvhdlmodel.pslmodel.pslprimaryunit property)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit.Parent", false]], "parent (pyvhdlmodel.pslmodel.verificationmode property)": [[43, "pyVHDLModel.PSLModel.VerificationMode.Parent", false]], "parent (pyvhdlmodel.pslmodel.verificationproperty property)": [[43, "pyVHDLModel.PSLModel.VerificationProperty.Parent", false]], "parent (pyvhdlmodel.pslmodel.verificationunit property)": [[43, "pyVHDLModel.PSLModel.VerificationUnit.Parent", false]], "parent (pyvhdlmodel.sequential.branch property)": [[47, "pyVHDLModel.Sequential.Branch.Parent", false]], "parent (pyvhdlmodel.sequential.case property)": [[47, "pyVHDLModel.Sequential.Case.Parent", false]], "parent (pyvhdlmodel.sequential.casestatement property)": [[47, "pyVHDLModel.Sequential.CaseStatement.Parent", false]], "parent (pyvhdlmodel.sequential.compoundstatement property)": [[47, "pyVHDLModel.Sequential.CompoundStatement.Parent", false]], "parent (pyvhdlmodel.sequential.elsebranch property)": [[47, "pyVHDLModel.Sequential.ElseBranch.Parent", false]], "parent (pyvhdlmodel.sequential.elsifbranch property)": [[47, "pyVHDLModel.Sequential.ElsifBranch.Parent", false]], "parent (pyvhdlmodel.sequential.endlessloopstatement property)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement.Parent", false]], "parent (pyvhdlmodel.sequential.exitstatement property)": [[47, "pyVHDLModel.Sequential.ExitStatement.Parent", false]], "parent (pyvhdlmodel.sequential.forloopstatement property)": [[47, "pyVHDLModel.Sequential.ForLoopStatement.Parent", false]], "parent (pyvhdlmodel.sequential.ifbranch property)": [[47, "pyVHDLModel.Sequential.IfBranch.Parent", false]], "parent (pyvhdlmodel.sequential.ifstatement property)": [[47, "pyVHDLModel.Sequential.IfStatement.Parent", false]], "parent (pyvhdlmodel.sequential.indexedchoice property)": [[47, "pyVHDLModel.Sequential.IndexedChoice.Parent", false]], "parent (pyvhdlmodel.sequential.loopcontrolstatement property)": [[47, "pyVHDLModel.Sequential.LoopControlStatement.Parent", false]], "parent (pyvhdlmodel.sequential.loopstatement property)": [[47, "pyVHDLModel.Sequential.LoopStatement.Parent", false]], "parent (pyvhdlmodel.sequential.nextstatement property)": [[47, "pyVHDLModel.Sequential.NextStatement.Parent", false]], "parent (pyvhdlmodel.sequential.nullstatement property)": [[47, "pyVHDLModel.Sequential.NullStatement.Parent", false]], "parent (pyvhdlmodel.sequential.otherscase property)": [[47, "pyVHDLModel.Sequential.OthersCase.Parent", false]], "parent (pyvhdlmodel.sequential.rangedchoice property)": [[47, "pyVHDLModel.Sequential.RangedChoice.Parent", false]], "parent (pyvhdlmodel.sequential.returnstatement property)": [[47, "pyVHDLModel.Sequential.ReturnStatement.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialassertstatement property)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialcase property)": [[47, "pyVHDLModel.Sequential.SequentialCase.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialchoice property)": [[47, "pyVHDLModel.Sequential.SequentialChoice.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialprocedurecall property)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialreportstatement property)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialsignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialsimplesignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialstatement property)": [[47, "pyVHDLModel.Sequential.SequentialStatement.Parent", false]], "parent (pyvhdlmodel.sequential.sequentialvariableassignment property)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment.Parent", false]], "parent (pyvhdlmodel.sequential.waitstatement property)": [[47, "pyVHDLModel.Sequential.WaitStatement.Parent", false]], "parent (pyvhdlmodel.sequential.whileloopstatement property)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement.Parent", false]], "parent (pyvhdlmodel.std.env property)": [[46, "pyVHDLModel.STD.Env.Parent", false]], "parent (pyvhdlmodel.std.env_body property)": [[46, "pyVHDLModel.STD.Env_Body.Parent", false]], "parent (pyvhdlmodel.std.standard property)": [[46, "pyVHDLModel.STD.Standard.Parent", false]], "parent (pyvhdlmodel.std.standard_body property)": [[46, "pyVHDLModel.STD.Standard_Body.Parent", false]], "parent (pyvhdlmodel.std.std property)": [[46, "pyVHDLModel.STD.Std.Parent", false]], "parent (pyvhdlmodel.std.textio property)": [[46, "pyVHDLModel.STD.TextIO.Parent", false]], "parent (pyvhdlmodel.std.textio_body property)": [[46, "pyVHDLModel.STD.TextIO_Body.Parent", false]], "parent (pyvhdlmodel.subprogram.function property)": [[48, "pyVHDLModel.Subprogram.Function.Parent", false]], "parent (pyvhdlmodel.subprogram.functionmethod property)": [[48, "pyVHDLModel.Subprogram.FunctionMethod.Parent", false]], "parent (pyvhdlmodel.subprogram.procedure property)": [[48, "pyVHDLModel.Subprogram.Procedure.Parent", false]], "parent (pyvhdlmodel.subprogram.proceduremethod property)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod.Parent", false]], "parent (pyvhdlmodel.subprogram.subprogram property)": [[48, "pyVHDLModel.Subprogram.Subprogram.Parent", false]], "parent (pyvhdlmodel.type.accesstype property)": [[50, "pyVHDLModel.Type.AccessType.Parent", false]], "parent (pyvhdlmodel.type.anonymoustype property)": [[50, "pyVHDLModel.Type.AnonymousType.Parent", false]], "parent (pyvhdlmodel.type.arraytype property)": [[50, "pyVHDLModel.Type.ArrayType.Parent", false]], "parent (pyvhdlmodel.type.basetype property)": [[50, "pyVHDLModel.Type.BaseType.Parent", false]], "parent (pyvhdlmodel.type.compositetype property)": [[50, "pyVHDLModel.Type.CompositeType.Parent", false]], "parent (pyvhdlmodel.type.enumeratedtype property)": [[50, "pyVHDLModel.Type.EnumeratedType.Parent", false]], "parent (pyvhdlmodel.type.filetype property)": [[50, "pyVHDLModel.Type.FileType.Parent", false]], "parent (pyvhdlmodel.type.fulltype property)": [[50, "pyVHDLModel.Type.FullType.Parent", false]], "parent (pyvhdlmodel.type.integertype property)": [[50, "pyVHDLModel.Type.IntegerType.Parent", false]], "parent (pyvhdlmodel.type.physicaltype property)": [[50, "pyVHDLModel.Type.PhysicalType.Parent", false]], "parent (pyvhdlmodel.type.protectedtype property)": [[50, "pyVHDLModel.Type.ProtectedType.Parent", false]], "parent (pyvhdlmodel.type.protectedtypebody property)": [[50, "pyVHDLModel.Type.ProtectedTypeBody.Parent", false]], "parent (pyvhdlmodel.type.rangedscalartype property)": [[50, "pyVHDLModel.Type.RangedScalarType.Parent", false]], "parent (pyvhdlmodel.type.realtype property)": [[50, "pyVHDLModel.Type.RealType.Parent", false]], "parent (pyvhdlmodel.type.recordtype property)": [[50, "pyVHDLModel.Type.RecordType.Parent", false]], "parent (pyvhdlmodel.type.recordtypeelement property)": [[50, "pyVHDLModel.Type.RecordTypeElement.Parent", false]], "parent (pyvhdlmodel.type.scalartype property)": [[50, "pyVHDLModel.Type.ScalarType.Parent", false]], "parent (pyvhdlmodel.type.subtype property)": [[50, "pyVHDLModel.Type.Subtype.Parent", false]], "parent (pyvhdlmodel.type.type property)": [[50, "pyVHDLModel.Type.Type.Parent", false]], "parenthesisexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ParenthesisExpression", false]], "parenthesisname (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.ParenthesisName", false]], "parse() (pyvhdlmodel.vhdlversion class method)": [[28, "pyVHDLModel.VHDLVersion.Parse", false]], "path (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.Path", false]], "physicalfloatingliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.PhysicalFloatingLiteral", false]], "physicalintegerliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.PhysicalIntegerLiteral", false]], "physicalliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.PhysicalLiteral", false]], "physicaltype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.PhysicalType", false]], "portassociationitem (class in pyvhdlmodel.association)": [[29, "pyVHDLModel.Association.PortAssociationItem", false]], "portinterfaceitemmixin (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.PortInterfaceItemMixin", false]], "portsignalinterfaceitem (class in pyvhdlmodel.interface)": [[39, "pyVHDLModel.Interface.PortSignalInterfaceItem", false]], "possiblereference (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.PossibleReference", false]], "predefinedlibrary (class in pyvhdlmodel.predefined)": [[44, "pyVHDLModel.Predefined.PredefinedLibrary", false]], "predefinedpackage (class in pyvhdlmodel.predefined)": [[44, "pyVHDLModel.Predefined.PredefinedPackage", false]], "predefinedpackagebody (class in pyvhdlmodel.predefined)": [[44, "pyVHDLModel.Predefined.PredefinedPackageBody", false]], "predefinedpackagemixin (class in pyvhdlmodel.predefined)": [[44, "pyVHDLModel.Predefined.PredefinedPackageMixin", false]], "prefix (pyvhdlmodel.name.allname property)": [[40, "pyVHDLModel.Name.AllName.Prefix", false]], "prefix (pyvhdlmodel.name.attributename property)": [[40, "pyVHDLModel.Name.AttributeName.Prefix", false]], "prefix (pyvhdlmodel.name.indexedname property)": [[40, "pyVHDLModel.Name.IndexedName.Prefix", false]], "prefix (pyvhdlmodel.name.name property)": [[40, "pyVHDLModel.Name.Name.Prefix", false]], "prefix (pyvhdlmodel.name.openname property)": [[40, "pyVHDLModel.Name.OpenName.Prefix", false]], "prefix (pyvhdlmodel.name.parenthesisname property)": [[40, "pyVHDLModel.Name.ParenthesisName.Prefix", false]], "prefix (pyvhdlmodel.name.selectedname property)": [[40, "pyVHDLModel.Name.SelectedName.Prefix", false]], "prefix (pyvhdlmodel.name.simplename property)": [[40, "pyVHDLModel.Name.SimpleName.Prefix", false]], "prefix (pyvhdlmodel.name.slicedname property)": [[40, "pyVHDLModel.Name.SlicedName.Prefix", false]], "primary (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.Primary", false]], "primaryunit (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.PrimaryUnit", false]], "procedure (class in pyvhdlmodel.subprogram)": [[48, "pyVHDLModel.Subprogram.Procedure", false]], "procedure (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Procedure", false]], "procedure (pyvhdlmodel.objectclass attribute)": [[28, "pyVHDLModel.ObjectClass.Procedure", false]], "procedure (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Procedure", false]], "procedurecallmixin (class in pyvhdlmodel.common)": [[31, "pyVHDLModel.Common.ProcedureCallMixin", false]], "procedureinstantiation (class in pyvhdlmodel.instantiation)": [[38, "pyVHDLModel.Instantiation.ProcedureInstantiation", false]], "proceduremethod (class in pyvhdlmodel.subprogram)": [[48, "pyVHDLModel.Subprogram.ProcedureMethod", false]], "processstatement (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.ProcessStatement", false]], "property (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Property", false]], "protectedtype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.ProtectedType", false]], "protectedtype (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.ProtectedType", false]], "protectedtypebody (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.ProtectedTypeBody", false]], "pslentity (class in pyvhdlmodel.pslmodel)": [[43, "pyVHDLModel.PSLModel.PSLEntity", false]], "pslprimaryunit (class in pyvhdlmodel.pslmodel)": [[43, "pyVHDLModel.PSLModel.PSLPrimaryUnit", false]], "pyvhdlmodel": [[28, "module-pyVHDLModel", false]], "pyvhdlmodel.association": [[29, "module-pyVHDLModel.Association", false]], "pyvhdlmodel.base": [[30, "module-pyVHDLModel.Base", false]], "pyvhdlmodel.common": [[31, "module-pyVHDLModel.Common", false]], "pyvhdlmodel.concurrent": [[32, "module-pyVHDLModel.Concurrent", false]], "pyvhdlmodel.declaration": [[33, "module-pyVHDLModel.Declaration", false]], "pyvhdlmodel.designunit": [[34, "module-pyVHDLModel.DesignUnit", false]], "pyvhdlmodel.exception": [[35, "module-pyVHDLModel.Exception", false]], "pyvhdlmodel.expression": [[36, "module-pyVHDLModel.Expression", false]], "pyvhdlmodel.ieee": [[37, "module-pyVHDLModel.IEEE", false]], "pyvhdlmodel.instantiation": [[38, "module-pyVHDLModel.Instantiation", false]], "pyvhdlmodel.interface": [[39, "module-pyVHDLModel.Interface", false]], "pyvhdlmodel.name": [[40, "module-pyVHDLModel.Name", false]], "pyvhdlmodel.namespace": [[41, "module-pyVHDLModel.Namespace", false]], "pyvhdlmodel.object": [[42, "module-pyVHDLModel.Object", false]], "pyvhdlmodel.predefined": [[44, "module-pyVHDLModel.Predefined", false]], "pyvhdlmodel.pslmodel": [[43, "module-pyVHDLModel.PSLModel", false]], "pyvhdlmodel.regions": [[45, "module-pyVHDLModel.Regions", false]], "pyvhdlmodel.sequential": [[47, "module-pyVHDLModel.Sequential", false]], "pyvhdlmodel.std": [[46, "module-pyVHDLModel.STD", false]], "pyvhdlmodel.subprogram": [[48, "module-pyVHDLModel.Subprogram", false]], "pyvhdlmodel.symbol": [[49, "module-pyVHDLModel.Symbol", false]], "pyvhdlmodel.type": [[50, "module-pyVHDLModel.Type", false]], "qualifiedexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.QualifiedExpression", false]], "qualifiedexpressionallocation (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.QualifiedExpressionAllocation", false]], "range (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.Range", false]], "rangeattribute (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.RangeAttribute", false]], "rangedaggregateelement (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.RangedAggregateElement", false]], "rangedchoice (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.RangedChoice", false]], "rangedgeneratechoice (class in pyvhdlmodel.concurrent)": [[32, "pyVHDLModel.Concurrent.RangedGenerateChoice", false]], "rangedscalartype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.RangedScalarType", false]], "rangeexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.RangeExpression", false]], "realtype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.RealType", false]], "recordelement (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.RecordElement", false]], "recordelementsymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.RecordElementSymbol", false]], "recordtype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.RecordType", false]], "recordtype (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.RecordType", false]], "recordtypeelement (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.RecordTypeElement", false]], "reference (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.Reference", false]], "referencedlibrarynotexistingerror": [[35, "pyVHDLModel.Exception.ReferencedLibraryNotExistingError", false]], "relationalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.RelationalExpression", false]], "remainderexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.RemainderExpression", false]], "reportstatementmixin (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.ReportStatementMixin", false]], "returnstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.ReturnStatement", false]], "root (pyvhdlmodel.name.allname property)": [[40, "pyVHDLModel.Name.AllName.Root", false]], "root (pyvhdlmodel.name.attributename property)": [[40, "pyVHDLModel.Name.AttributeName.Root", false]], "root (pyvhdlmodel.name.indexedname property)": [[40, "pyVHDLModel.Name.IndexedName.Root", false]], "root (pyvhdlmodel.name.name property)": [[40, "pyVHDLModel.Name.Name.Root", false]], "root (pyvhdlmodel.name.openname property)": [[40, "pyVHDLModel.Name.OpenName.Root", false]], "root (pyvhdlmodel.name.parenthesisname property)": [[40, "pyVHDLModel.Name.ParenthesisName.Root", false]], "root (pyvhdlmodel.name.selectedname property)": [[40, "pyVHDLModel.Name.SelectedName.Root", false]], "root (pyvhdlmodel.name.simplename property)": [[40, "pyVHDLModel.Name.SimpleName.Root", false]], "root (pyvhdlmodel.name.slicedname property)": [[40, "pyVHDLModel.Name.SlicedName.Root", false]], "rotateexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.RotateExpression", false]], "rotateleftexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.RotateLeftExpression", false]], "rotaterightexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.RotateRightExpression", false]], "scalartype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.ScalarType", false]], "scalartype (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.ScalarType", false]], "secondary (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.Secondary", false]], "secondaryunit (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.SecondaryUnit", false]], "selectedname (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.SelectedName", false]], "sequence (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Sequence", false]], "sequentialassertstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialAssertStatement", false]], "sequentialcase (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialCase", false]], "sequentialchoice (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialChoice", false]], "sequentialdeclarationsmixin (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialDeclarationsMixin", false]], "sequentialprocedurecall (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialProcedureCall", false]], "sequentialreportstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialReportStatement", false]], "sequentialsignalassignment (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialSignalAssignment", false]], "sequentialsimplesignalassignment (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment", false]], "sequentialstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialStatement", false]], "sequentialstatementsmixin (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialStatementsMixin", false]], "sequentialvariableassignment (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.SequentialVariableAssignment", false]], "sharedvariable (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.SharedVariable", false]], "shiftarithmeticexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ShiftArithmeticExpression", false]], "shiftexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ShiftExpression", false]], "shiftleftarithmeticexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ShiftLeftArithmeticExpression", false]], "shiftleftlogicexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ShiftLeftLogicExpression", false]], "shiftlogicexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ShiftLogicExpression", false]], "shiftrightarithmeticexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ShiftRightArithmeticExpression", false]], "shiftrightlogicexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.ShiftRightLogicExpression", false]], "signal (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.Signal", false]], "signal (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Signal", false]], "signal (pyvhdlmodel.objectclass attribute)": [[28, "pyVHDLModel.ObjectClass.Signal", false]], "signal (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Signal", false]], "signalassignmentmixin (class in pyvhdlmodel.common)": [[31, "pyVHDLModel.Common.SignalAssignmentMixin", false]], "signalattribute (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.SignalAttribute", false]], "simpleaggregateelement (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.SimpleAggregateElement", false]], "simplename (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.SimpleName", false]], "simplenameinexpression (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.SimpleNameInExpression", false]], "simpleobjectorfunctioncallsymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol", false]], "simplesubtypesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.SimpleSubtypeSymbol", false]], "slicedname (class in pyvhdlmodel.name)": [[40, "pyVHDLModel.Name.SlicedName", false]], "standard (class in pyvhdlmodel.std)": [[46, "pyVHDLModel.STD.Standard", false]], "standard_body (class in pyvhdlmodel.std)": [[46, "pyVHDLModel.STD.Standard_Body", false]], "statement (class in pyvhdlmodel.common)": [[31, "pyVHDLModel.Common.Statement", false]], "statements (pyvhdlmodel.concurrent.processstatement property)": [[32, "pyVHDLModel.Concurrent.ProcessStatement.Statements", false]], "statements (pyvhdlmodel.sequential.branch property)": [[47, "pyVHDLModel.Sequential.Branch.Statements", false]], "statements (pyvhdlmodel.sequential.case property)": [[47, "pyVHDLModel.Sequential.Case.Statements", false]], "statements (pyvhdlmodel.sequential.elsebranch property)": [[47, "pyVHDLModel.Sequential.ElseBranch.Statements", false]], "statements (pyvhdlmodel.sequential.elsifbranch property)": [[47, "pyVHDLModel.Sequential.ElsifBranch.Statements", false]], "statements (pyvhdlmodel.sequential.endlessloopstatement property)": [[47, "pyVHDLModel.Sequential.EndlessLoopStatement.Statements", false]], "statements (pyvhdlmodel.sequential.forloopstatement property)": [[47, "pyVHDLModel.Sequential.ForLoopStatement.Statements", false]], "statements (pyvhdlmodel.sequential.ifbranch property)": [[47, "pyVHDLModel.Sequential.IfBranch.Statements", false]], "statements (pyvhdlmodel.sequential.loopstatement property)": [[47, "pyVHDLModel.Sequential.LoopStatement.Statements", false]], "statements (pyvhdlmodel.sequential.otherscase property)": [[47, "pyVHDLModel.Sequential.OthersCase.Statements", false]], "statements (pyvhdlmodel.sequential.sequentialcase property)": [[47, "pyVHDLModel.Sequential.SequentialCase.Statements", false]], "statements (pyvhdlmodel.sequential.sequentialstatementsmixin property)": [[47, "pyVHDLModel.Sequential.SequentialStatementsMixin.Statements", false]], "statements (pyvhdlmodel.sequential.whileloopstatement property)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement.Statements", false]], "std (class in pyvhdlmodel.std)": [[46, "pyVHDLModel.STD.Std", false]], "std_logic_1164 (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Std_logic_1164", false]], "std_logic_1164_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Std_logic_1164_Body", false]], "std_logic_misc (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Std_logic_misc", false]], "std_logic_misc_body (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.Std_logic_misc_Body", false]], "std_logic_textio (class in pyvhdlmodel.ieee)": [[37, "pyVHDLModel.IEEE.std_logic_textio", false]], "stringliteral (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.StringLiteral", false]], "subexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.SubExpression", false]], "subprogram (class in pyvhdlmodel.subprogram)": [[48, "pyVHDLModel.Subprogram.Subprogram", false]], "subprogram (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.SubProgram", false]], "subprograminstantiationmixin (class in pyvhdlmodel.instantiation)": [[38, "pyVHDLModel.Instantiation.SubprogramInstantiationMixin", false]], "subtractionexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.SubtractionExpression", false]], "subtype (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.Subtype", false]], "subtype (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Subtype", false]], "subtype (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Subtype", false]], "subtypeallocation (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.SubtypeAllocation", false]], "subtypesymbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.SubtypeSymbol", false]], "symbol (class in pyvhdlmodel.symbol)": [[49, "pyVHDLModel.Symbol.Symbol", false]], "symbols (pyvhdlmodel.designunit.contextreference property)": [[34, "pyVHDLModel.DesignUnit.ContextReference.Symbols", false]], "symbols (pyvhdlmodel.designunit.libraryclause property)": [[34, "pyVHDLModel.DesignUnit.LibraryClause.Symbols", false]], "symbols (pyvhdlmodel.designunit.reference property)": [[34, "pyVHDLModel.DesignUnit.Reference.Symbols", false]], "symbols (pyvhdlmodel.designunit.useclause property)": [[34, "pyVHDLModel.DesignUnit.UseClause.Symbols", false]], "ternaryexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.TernaryExpression", false]], "textio (class in pyvhdlmodel.std)": [[46, "pyVHDLModel.STD.TextIO", false]], "textio_body (class in pyvhdlmodel.std)": [[46, "pyVHDLModel.STD.TextIO_Body", false]], "to (pyvhdlmodel.base.direction attribute)": [[30, "pyVHDLModel.Base.Direction.To", false]], "toplevel (pyvhdlmodel.design property)": [[28, "pyVHDLModel.Design.TopLevel", false]], "type (class in pyvhdlmodel.type)": [[50, "pyVHDLModel.Type.Type", false]], "type (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Type", false]], "type (pyvhdlmodel.objectclass attribute)": [[28, "pyVHDLModel.ObjectClass.Type", false]], "type (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Type", false]], "typeattribute (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.TypeAttribute", false]], "typeconversion (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.TypeConversion", false]], "unaryandexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.UnaryAndExpression", false]], "unaryexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.UnaryExpression", false]], "unarynandexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.UnaryNandExpression", false]], "unarynorexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.UnaryNorExpression", false]], "unaryorexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.UnaryOrExpression", false]], "unaryxnorexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.UnaryXnorExpression", false]], "unaryxorexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.UnaryXorExpression", false]], "unequalexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.UnequalExpression", false]], "units (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Units", false]], "useclause (class in pyvhdlmodel.designunit)": [[34, "pyVHDLModel.DesignUnit.UseClause", false]], "valueattribute (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.ValueAttribute", false]], "variable (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.Variable", false]], "variable (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.Variable", false]], "variable (pyvhdlmodel.objectclass attribute)": [[28, "pyVHDLModel.ObjectClass.Variable", false]], "variable (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.Variable", false]], "variableassignmentmixin (class in pyvhdlmodel.common)": [[31, "pyVHDLModel.Common.VariableAssignmentMixin", false]], "verificationmode (class in pyvhdlmodel.pslmodel)": [[43, "pyVHDLModel.PSLModel.VerificationMode", false]], "verificationmodes (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.VerificationModes", false]], "verificationproperties (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.VerificationProperties", false]], "verificationproperty (class in pyvhdlmodel.pslmodel)": [[43, "pyVHDLModel.PSLModel.VerificationProperty", false]], "verificationunit (class in pyvhdlmodel.pslmodel)": [[43, "pyVHDLModel.PSLModel.VerificationUnit", false]], "verificationunits (pyvhdlmodel.document property)": [[28, "pyVHDLModel.Document.VerificationUnits", false]], "vhdl2000 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.VHDL2000", false]], "vhdl2002 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.VHDL2002", false]], "vhdl2008 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.VHDL2008", false]], "vhdl2019 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.VHDL2019", false]], "vhdl87 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.VHDL87", false]], "vhdl93 (pyvhdlmodel.vhdlversion attribute)": [[28, "pyVHDLModel.VHDLVersion.VHDL93", false]], "vhdlmodelexception": [[35, "pyVHDLModel.Exception.VHDLModelException", false]], "vhdlversion (class in pyvhdlmodel)": [[28, "pyVHDLModel.VHDLVersion", false]], "view (pyvhdlmodel.declaration.entityclass attribute)": [[33, "pyVHDLModel.Declaration.EntityClass.View", false]], "view (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.View", false]], "viewattribute (pyvhdlmodel.symbol.possiblereference attribute)": [[49, "pyVHDLModel.Symbol.PossibleReference.ViewAttribute", false]], "waitstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.WaitStatement", false]], "waveform (pyvhdlmodel.sequential.sequentialsimplesignalassignment property)": [[47, "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment.Waveform", false]], "waveformelement (class in pyvhdlmodel.base)": [[30, "pyVHDLModel.Base.WaveformElement", false]], "whenelseexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.WhenElseExpression", false]], "whileloopstatement (class in pyvhdlmodel.sequential)": [[47, "pyVHDLModel.Sequential.WhileLoopStatement", false]], "withcontext (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.WithContext", false]], "withdeclareditems (pyvhdlmodel.designunitkind attribute)": [[28, "pyVHDLModel.DesignUnitKind.WithDeclaredItems", false]], "withdefaultexpressionmixin (class in pyvhdlmodel.object)": [[42, "pyVHDLModel.Object.WithDefaultExpressionMixin", false]], "xnorexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.XnorExpression", false]], "xorexpression (class in pyvhdlmodel.expression)": [[36, "pyVHDLModel.Expression.XorExpression", false]]}, "objects": {"": [[28, 0, 0, "-", "pyVHDLModel"]], "pyVHDLModel": [[29, 0, 0, "-", "Association"], [30, 0, 0, "-", "Base"], [31, 0, 0, "-", "Common"], [32, 0, 0, "-", "Concurrent"], [33, 0, 0, "-", "Declaration"], [28, 1, 1, "", "DependencyGraphEdgeKind"], [28, 1, 1, "", "DependencyGraphVertexKind"], [28, 1, 1, "", "Design"], [34, 0, 0, "-", "DesignUnit"], [28, 1, 1, "", "DesignUnitKind"], [28, 1, 1, "", "Document"], [35, 0, 0, "-", "Exception"], [36, 0, 0, "-", "Expression"], [37, 0, 0, "-", "IEEE"], [38, 0, 0, "-", "Instantiation"], [39, 0, 0, "-", "Interface"], [28, 1, 1, "", "Library"], [40, 0, 0, "-", "Name"], [41, 0, 0, "-", "Namespace"], [42, 0, 0, "-", "Object"], [28, 1, 1, "", "ObjectClass"], [28, 1, 1, "", "ObjectGraphEdgeKind"], [28, 1, 1, "", "ObjectGraphVertexKind"], [43, 0, 0, "-", "PSLModel"], [44, 0, 0, "-", "Predefined"], [45, 0, 0, "-", "Regions"], [46, 0, 0, "-", "STD"], [47, 0, 0, "-", "Sequential"], [48, 0, 0, "-", "Subprogram"], [49, 0, 0, "-", "Symbol"], [50, 0, 0, "-", "Type"], [28, 1, 1, "", "VHDLVersion"]], "pyVHDLModel.Association": [[29, 1, 1, "", "AssociationItem"], [29, 1, 1, "", "GenericAssociationItem"], [29, 1, 1, "", "ParameterAssociationItem"], [29, 1, 1, "", "PortAssociationItem"]], "pyVHDLModel.Association.AssociationItem": [[29, 2, 1, "", "HasClassAttributes"], [29, 2, 1, "", "HasMethodAttributes"], [29, 2, 1, "", "Parent"], [29, 3, 1, "", "__init__"], [29, 3, 1, "", "__str__"], [29, 4, 1, "", "_parent"]], "pyVHDLModel.Association.GenericAssociationItem": [[29, 2, 1, "", "HasClassAttributes"], [29, 2, 1, "", "HasMethodAttributes"], [29, 2, 1, "", "Parent"], [29, 3, 1, "", "__init__"], [29, 3, 1, "", "__str__"], [29, 4, 1, "", "_parent"]], "pyVHDLModel.Association.ParameterAssociationItem": [[29, 2, 1, "", "HasClassAttributes"], [29, 2, 1, "", "HasMethodAttributes"], [29, 2, 1, "", "Parent"], [29, 3, 1, "", "__init__"], [29, 3, 1, "", "__str__"], [29, 4, 1, "", "_parent"]], "pyVHDLModel.Association.PortAssociationItem": [[29, 2, 1, "", "HasClassAttributes"], [29, 2, 1, "", "HasMethodAttributes"], [29, 2, 1, "", "Parent"], [29, 3, 1, "", "__init__"], [29, 3, 1, "", "__str__"], [29, 4, 1, "", "_parent"]], "pyVHDLModel.Base": [[30, 1, 1, "", "AssertStatementMixin"], [30, 1, 1, "", "BaseCase"], [30, 1, 1, "", "BaseChoice"], [30, 1, 1, "", "BranchMixin"], [30, 1, 1, "", "ConditionalBranchMixin"], [30, 1, 1, "", "ConditionalMixin"], [30, 1, 1, "", "Direction"], [30, 1, 1, "", "DocumentedEntityMixin"], [30, 1, 1, "", "ElseBranchMixin"], [30, 1, 1, "", "ElsifBranchMixin"], [30, 5, 1, "", "ExpressionUnion"], [30, 1, 1, "", "IfBranchMixin"], [30, 1, 1, "", "LabeledEntityMixin"], [30, 1, 1, "", "Mode"], [30, 1, 1, "", "ModelEntity"], [30, 1, 1, "", "MultipleNamedEntityMixin"], [30, 1, 1, "", "NamedEntityMixin"], [30, 1, 1, "", "Range"], [30, 1, 1, "", "ReportStatementMixin"], [30, 1, 1, "", "WaveformElement"]], "pyVHDLModel.Base.AssertStatementMixin": [[30, 2, 1, "", "Condition"], [30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"]], "pyVHDLModel.Base.BaseCase": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 2, 1, "", "Parent"], [30, 3, 1, "", "__init__"], [30, 4, 1, "", "_parent"]], "pyVHDLModel.Base.BaseChoice": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 2, 1, "", "Parent"], [30, 3, 1, "", "__init__"], [30, 4, 1, "", "_parent"]], "pyVHDLModel.Base.BranchMixin": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"]], "pyVHDLModel.Base.ConditionalBranchMixin": [[30, 2, 1, "", "Condition"], [30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"]], "pyVHDLModel.Base.ConditionalMixin": [[30, 2, 1, "", "Condition"], [30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"]], "pyVHDLModel.Base.Direction": [[30, 4, 1, "", "DownTo"], [30, 4, 1, "", "To"], [30, 3, 1, "", "__str__"]], "pyVHDLModel.Base.DocumentedEntityMixin": [[30, 2, 1, "", "Documentation"], [30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"], [30, 4, 1, "", "_documentation"]], "pyVHDLModel.Base.ElseBranchMixin": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"]], "pyVHDLModel.Base.ElsifBranchMixin": [[30, 2, 1, "", "Condition"], [30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"]], "pyVHDLModel.Base.IfBranchMixin": [[30, 2, 1, "", "Condition"], [30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"]], "pyVHDLModel.Base.LabeledEntityMixin": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 2, 1, "", "Label"], [30, 2, 1, "", "NormalizedLabel"], [30, 3, 1, "", "__init__"], [30, 4, 1, "", "_label"], [30, 4, 1, "", "_normalizedLabel"]], "pyVHDLModel.Base.Mode": [[30, 4, 1, "", "Buffer"], [30, 4, 1, "", "Default"], [30, 4, 1, "", "In"], [30, 4, 1, "", "InOut"], [30, 4, 1, "", "Linkage"], [30, 4, 1, "", "Out"], [30, 3, 1, "", "__str__"]], "pyVHDLModel.Base.ModelEntity": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 2, 1, "", "Parent"], [30, 3, 1, "", "__init__"], [30, 4, 1, "", "_parent"]], "pyVHDLModel.Base.MultipleNamedEntityMixin": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 2, 1, "", "Identifiers"], [30, 2, 1, "", "NormalizedIdentifiers"], [30, 3, 1, "", "__init__"], [30, 4, 1, "", "_identifiers"], [30, 4, 1, "", "_normalizedIdentifiers"]], "pyVHDLModel.Base.NamedEntityMixin": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 2, 1, "", "Identifier"], [30, 2, 1, "", "NormalizedIdentifier"], [30, 3, 1, "", "__init__"], [30, 4, 1, "", "_identifier"], [30, 4, 1, "", "_normalizedIdentifier"]], "pyVHDLModel.Base.Range": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 2, 1, "", "Parent"], [30, 3, 1, "", "__init__"], [30, 3, 1, "", "__str__"], [30, 4, 1, "", "_parent"]], "pyVHDLModel.Base.ReportStatementMixin": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 3, 1, "", "__init__"]], "pyVHDLModel.Base.WaveformElement": [[30, 2, 1, "", "HasClassAttributes"], [30, 2, 1, "", "HasMethodAttributes"], [30, 2, 1, "", "Parent"], [30, 3, 1, "", "__init__"], [30, 4, 1, "", "_parent"]], "pyVHDLModel.Common": [[31, 1, 1, "", "AssignmentMixin"], [31, 1, 1, "", "ProcedureCallMixin"], [31, 1, 1, "", "SignalAssignmentMixin"], [31, 1, 1, "", "Statement"], [31, 1, 1, "", "VariableAssignmentMixin"]], "pyVHDLModel.Common.AssignmentMixin": [[31, 2, 1, "", "HasClassAttributes"], [31, 2, 1, "", "HasMethodAttributes"], [31, 3, 1, "", "__init__"]], "pyVHDLModel.Common.ProcedureCallMixin": [[31, 2, 1, "", "HasClassAttributes"], [31, 2, 1, "", "HasMethodAttributes"], [31, 3, 1, "", "__init__"]], "pyVHDLModel.Common.SignalAssignmentMixin": [[31, 2, 1, "", "HasClassAttributes"], [31, 2, 1, "", "HasMethodAttributes"], [31, 3, 1, "", "__init__"]], "pyVHDLModel.Common.Statement": [[31, 2, 1, "", "HasClassAttributes"], [31, 2, 1, "", "HasMethodAttributes"], [31, 2, 1, "", "Label"], [31, 2, 1, "", "NormalizedLabel"], [31, 2, 1, "", "Parent"], [31, 3, 1, "", "__init__"], [31, 4, 1, "", "_label"], [31, 4, 1, "", "_normalizedLabel"], [31, 4, 1, "", "_parent"]], "pyVHDLModel.Common.VariableAssignmentMixin": [[31, 2, 1, "", "HasClassAttributes"], [31, 2, 1, "", "HasMethodAttributes"], [31, 3, 1, "", "__init__"]], "pyVHDLModel.Concurrent": [[32, 1, 1, "", "CaseGenerateStatement"], [32, 1, 1, "", "ComponentInstantiation"], [32, 1, 1, "", "ConcurrentAssertStatement"], [32, 1, 1, "", "ConcurrentBlockStatement"], [32, 1, 1, "", "ConcurrentCase"], [32, 1, 1, "", "ConcurrentChoice"], [32, 1, 1, "", "ConcurrentConditionalSignalAssignment"], [32, 1, 1, "", "ConcurrentProcedureCall"], [32, 1, 1, "", "ConcurrentSelectedSignalAssignment"], [32, 1, 1, "", "ConcurrentSignalAssignment"], [32, 1, 1, "", "ConcurrentSimpleSignalAssignment"], [32, 1, 1, "", "ConcurrentStatement"], [32, 1, 1, "", "ConcurrentStatementsMixin"], [32, 1, 1, "", "ConfigurationInstantiation"], [32, 1, 1, "", "ElseGenerateBranch"], [32, 1, 1, "", "ElsifGenerateBranch"], [32, 1, 1, "", "EntityInstantiation"], [32, 1, 1, "", "ForGenerateStatement"], [32, 1, 1, "", "GenerateBranch"], [32, 1, 1, "", "GenerateCase"], [32, 1, 1, "", "GenerateStatement"], [32, 1, 1, "", "IfGenerateBranch"], [32, 1, 1, "", "IfGenerateStatement"], [32, 1, 1, "", "IndexedGenerateChoice"], [32, 1, 1, "", "Instantiation"], [32, 1, 1, "", "OthersGenerateCase"], [32, 1, 1, "", "ProcessStatement"], [32, 1, 1, "", "RangedGenerateChoice"]], "pyVHDLModel.Concurrent.CaseGenerateStatement": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ComponentInstantiation": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentAssertStatement": [[32, 2, 1, "", "Condition"], [32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentBlockStatement": [[32, 2, 1, "", "Documentation"], [32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_documentation"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.ConcurrentCase": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.ConcurrentChoice": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentProcedureCall": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentSignalAssignment": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentStatement": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ConcurrentStatementsMixin": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "__init__"]], "pyVHDLModel.Concurrent.ConfigurationInstantiation": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ElseGenerateBranch": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.ElsifGenerateBranch": [[32, 2, 1, "", "Condition"], [32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.EntityInstantiation": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.ForGenerateStatement": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.GenerateBranch": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.GenerateCase": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 3, 1, "", "__str__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.GenerateStatement": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.IfGenerateBranch": [[32, 2, 1, "", "Condition"], [32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.IfGenerateStatement": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.IndexedGenerateChoice": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 3, 1, "", "__str__"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.Instantiation": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.OthersGenerateCase": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 3, 1, "", "IndexDeclaredItems"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 3, 1, "", "__str__"], [32, 4, 1, "", "_constants"], [32, 4, 1, "", "_declaredItems"], [32, 4, 1, "", "_files"], [32, 4, 1, "", "_functions"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"], [32, 4, 1, "", "_procedures"], [32, 4, 1, "", "_sharedVariables"], [32, 4, 1, "", "_signals"], [32, 4, 1, "", "_subtypes"], [32, 4, 1, "", "_types"]], "pyVHDLModel.Concurrent.ProcessStatement": [[32, 2, 1, "", "Documentation"], [32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Label"], [32, 2, 1, "", "NormalizedLabel"], [32, 2, 1, "", "Parent"], [32, 2, 1, "", "Statements"], [32, 3, 1, "", "__init__"], [32, 4, 1, "", "_documentation"], [32, 4, 1, "", "_label"], [32, 4, 1, "", "_normalizedLabel"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Concurrent.RangedGenerateChoice": [[32, 2, 1, "", "HasClassAttributes"], [32, 2, 1, "", "HasMethodAttributes"], [32, 2, 1, "", "Parent"], [32, 3, 1, "", "__init__"], [32, 3, 1, "", "__str__"], [32, 4, 1, "", "_parent"]], "pyVHDLModel.Declaration": [[33, 1, 1, "", "Alias"], [33, 1, 1, "", "Attribute"], [33, 1, 1, "", "AttributeSpecification"], [33, 1, 1, "", "EntityClass"]], "pyVHDLModel.Declaration.Alias": [[33, 2, 1, "", "Documentation"], [33, 2, 1, "", "HasClassAttributes"], [33, 2, 1, "", "HasMethodAttributes"], [33, 2, 1, "", "Identifier"], [33, 2, 1, "", "NormalizedIdentifier"], [33, 2, 1, "", "Parent"], [33, 3, 1, "", "__init__"], [33, 4, 1, "", "_documentation"], [33, 4, 1, "", "_identifier"], [33, 4, 1, "", "_normalizedIdentifier"], [33, 4, 1, "", "_parent"]], "pyVHDLModel.Declaration.Attribute": [[33, 2, 1, "", "Documentation"], [33, 2, 1, "", "HasClassAttributes"], [33, 2, 1, "", "HasMethodAttributes"], [33, 2, 1, "", "Identifier"], [33, 2, 1, "", "NormalizedIdentifier"], [33, 2, 1, "", "Parent"], [33, 3, 1, "", "__init__"], [33, 4, 1, "", "_documentation"], [33, 4, 1, "", "_identifier"], [33, 4, 1, "", "_normalizedIdentifier"], [33, 4, 1, "", "_parent"]], "pyVHDLModel.Declaration.AttributeSpecification": [[33, 2, 1, "", "Documentation"], [33, 2, 1, "", "HasClassAttributes"], [33, 2, 1, "", "HasMethodAttributes"], [33, 2, 1, "", "Parent"], [33, 3, 1, "", "__init__"], [33, 4, 1, "", "_documentation"], [33, 4, 1, "", "_parent"]], "pyVHDLModel.Declaration.EntityClass": [[33, 4, 1, "", "Architecture"], [33, 4, 1, "", "Component"], [33, 4, 1, "", "Configuration"], [33, 4, 1, "", "Constant"], [33, 4, 1, "", "Entity"], [33, 4, 1, "", "File"], [33, 4, 1, "", "Function"], [33, 4, 1, "", "Group"], [33, 4, 1, "", "Label"], [33, 4, 1, "", "Literal"], [33, 4, 1, "", "Others"], [33, 4, 1, "", "Package"], [33, 4, 1, "", "Procedure"], [33, 4, 1, "", "Property"], [33, 4, 1, "", "Sequence"], [33, 4, 1, "", "Signal"], [33, 4, 1, "", "Subtype"], [33, 4, 1, "", "Type"], [33, 4, 1, "", "Units"], [33, 4, 1, "", "Variable"], [33, 4, 1, "", "View"]], "pyVHDLModel.DependencyGraphEdgeKind": [[28, 3, 1, "", "__bool__"], [28, 3, 1, "", "__contains__"], [28, 3, 1, "", "__iter__"], [28, 3, 1, "", "__len__"], [28, 3, 1, "", "__new__"], [28, 3, 1, "", "__or__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__ror__"], [28, 3, 1, "", "__str__"], [28, 3, 1, "", "_generate_next_value_"], [28, 3, 1, "", "_iter_member_"], [28, 3, 1, "", "_iter_member_by_def_"], [28, 3, 1, "", "_iter_member_by_value_"], [28, 3, 1, "", "_missing_"], [28, 3, 1, "", "_numeric_repr_"]], "pyVHDLModel.DependencyGraphVertexKind": [[28, 4, 1, "", "Architecture"], [28, 4, 1, "", "Component"], [28, 4, 1, "", "Configuration"], [28, 4, 1, "", "Context"], [28, 4, 1, "", "Document"], [28, 4, 1, "", "Entity"], [28, 4, 1, "", "Library"], [28, 4, 1, "", "Package"], [28, 4, 1, "", "PackageBody"], [28, 3, 1, "", "__bool__"], [28, 3, 1, "", "__contains__"], [28, 3, 1, "", "__iter__"], [28, 3, 1, "", "__len__"], [28, 3, 1, "", "__new__"], [28, 3, 1, "", "__or__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__ror__"], [28, 3, 1, "", "__str__"], [28, 3, 1, "", "_generate_next_value_"], [28, 3, 1, "", "_iter_member_"], [28, 3, 1, "", "_iter_member_by_def_"], [28, 3, 1, "", "_iter_member_by_value_"], [28, 3, 1, "", "_missing_"], [28, 3, 1, "", "_numeric_repr_"]], "pyVHDLModel.Design": [[28, 3, 1, "", "AddDocument"], [28, 3, 1, "", "AddLibrary"], [28, 3, 1, "", "Analyze"], [28, 3, 1, "", "AnalyzeDependencies"], [28, 3, 1, "", "AnalyzeObjects"], [28, 2, 1, "", "CompileOrderGraph"], [28, 3, 1, "", "CreateCompileOrderGraph"], [28, 3, 1, "", "CreateDependencyGraph"], [28, 3, 1, "", "CreateHierarchyGraph"], [28, 2, 1, "", "DependencyGraph"], [28, 2, 1, "", "Documents"], [28, 3, 1, "", "GetLibrary"], [28, 2, 1, "", "HasClassAttributes"], [28, 2, 1, "", "HasMethodAttributes"], [28, 2, 1, "", "HierarchyGraph"], [28, 3, 1, "", "IndexArchitectures"], [28, 3, 1, "", "IndexEntities"], [28, 3, 1, "", "IndexPackageBodies"], [28, 3, 1, "", "IndexPackages"], [28, 3, 1, "", "IterateDesignUnits"], [28, 3, 1, "", "IterateDocumentsInCompileOrder"], [28, 2, 1, "", "Libraries"], [28, 3, 1, "", "LinkArchitectures"], [28, 3, 1, "", "LinkContexts"], [28, 3, 1, "", "LinkPackageBodies"], [28, 3, 1, "", "LoadIEEELibrary"], [28, 3, 1, "", "LoadStdLibrary"], [28, 2, 1, "", "ObjectGraph"], [28, 2, 1, "", "Parent"], [28, 2, 1, "", "TopLevel"], [28, 3, 1, "", "__init__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__str__"], [28, 4, 1, "", "_compileOrderGraph"], [28, 4, 1, "", "_dependencyGraph"], [28, 4, 1, "", "_documents"], [28, 4, 1, "", "_hierarchyGraph"], [28, 4, 1, "", "_libraries"], [28, 4, 1, "", "_name"], [28, 4, 1, "", "_objectGraph"], [28, 4, 1, "", "_parent"], [28, 4, 1, "", "_toplevel"]], "pyVHDLModel.DesignUnit": [[34, 1, 1, "", "Architecture"], [34, 1, 1, "", "Component"], [34, 1, 1, "", "Configuration"], [34, 1, 1, "", "Context"], [34, 1, 1, "", "ContextReference"], [34, 1, 1, "", "DesignUnit"], [34, 1, 1, "", "DesignUnitWithContextMixin"], [34, 1, 1, "", "Entity"], [34, 1, 1, "", "LibraryClause"], [34, 1, 1, "", "Package"], [34, 1, 1, "", "PackageBody"], [34, 1, 1, "", "PrimaryUnit"], [34, 1, 1, "", "Reference"], [34, 1, 1, "", "SecondaryUnit"], [34, 1, 1, "", "UseClause"]], "pyVHDLModel.DesignUnit.Architecture": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 3, 1, "", "IndexDeclaredItems"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 3, 1, "", "__repr__"], [34, 3, 1, "", "__str__"], [34, 4, 1, "", "_constants"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_declaredItems"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_files"], [34, 4, 1, "", "_functions"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_procedures"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"], [34, 4, 1, "", "_sharedVariables"], [34, 4, 1, "", "_signals"], [34, 4, 1, "", "_subtypes"], [34, 4, 1, "", "_types"]], "pyVHDLModel.DesignUnit.Component": [[34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "Identifier"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_parent"]], "pyVHDLModel.DesignUnit.Configuration": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 3, 1, "", "__repr__"], [34, 3, 1, "", "__str__"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.DesignUnit.Context": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 3, 1, "", "__str__"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.DesignUnit.ContextReference": [[34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "Parent"], [34, 2, 1, "", "Symbols"], [34, 3, 1, "", "__init__"], [34, 4, 1, "", "_parent"]], "pyVHDLModel.DesignUnit.DesignUnit": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.DesignUnit.DesignUnitWithContextMixin": [[34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"]], "pyVHDLModel.DesignUnit.Entity": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 3, 1, "", "IndexDeclaredItems"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 3, 1, "", "__repr__"], [34, 3, 1, "", "__str__"], [34, 4, 1, "", "_constants"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_declaredItems"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_files"], [34, 4, 1, "", "_functions"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_procedures"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"], [34, 4, 1, "", "_sharedVariables"], [34, 4, 1, "", "_signals"], [34, 4, 1, "", "_subtypes"], [34, 4, 1, "", "_types"]], "pyVHDLModel.DesignUnit.LibraryClause": [[34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "Parent"], [34, 2, 1, "", "Symbols"], [34, 3, 1, "", "__init__"], [34, 4, 1, "", "_parent"]], "pyVHDLModel.DesignUnit.Package": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 3, 1, "", "IndexDeclaredItems"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 3, 1, "", "__repr__"], [34, 3, 1, "", "__str__"], [34, 4, 1, "", "_constants"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_declaredItems"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_files"], [34, 4, 1, "", "_functions"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_procedures"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"], [34, 4, 1, "", "_sharedVariables"], [34, 4, 1, "", "_signals"], [34, 4, 1, "", "_subtypes"], [34, 4, 1, "", "_types"]], "pyVHDLModel.DesignUnit.PackageBody": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 3, 1, "", "IndexDeclaredItems"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 3, 1, "", "__repr__"], [34, 3, 1, "", "__str__"], [34, 4, 1, "", "_constants"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_declaredItems"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_files"], [34, 4, 1, "", "_functions"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_procedures"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"], [34, 4, 1, "", "_sharedVariables"], [34, 4, 1, "", "_signals"], [34, 4, 1, "", "_subtypes"], [34, 4, 1, "", "_types"]], "pyVHDLModel.DesignUnit.PrimaryUnit": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.DesignUnit.Reference": [[34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "Parent"], [34, 2, 1, "", "Symbols"], [34, 3, 1, "", "__init__"], [34, 4, 1, "", "_parent"]], "pyVHDLModel.DesignUnit.SecondaryUnit": [[34, 2, 1, "", "ContextItems"], [34, 2, 1, "", "ContextReferences"], [34, 2, 1, "", "DependencyVertex"], [34, 2, 1, "", "Documentation"], [34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "HierarchyVertex"], [34, 2, 1, "", "Identifier"], [34, 2, 1, "", "LibraryReferences"], [34, 2, 1, "", "NormalizedIdentifier"], [34, 2, 1, "", "PackageReferences"], [34, 2, 1, "", "Parent"], [34, 3, 1, "", "__init__"], [34, 4, 1, "", "_contextItems"], [34, 4, 1, "", "_contextReferences"], [34, 4, 1, "", "_dependencyVertex"], [34, 4, 1, "", "_document"], [34, 4, 1, "", "_documentation"], [34, 4, 1, "", "_hierarchyVertex"], [34, 4, 1, "", "_identifier"], [34, 4, 1, "", "_libraryReferences"], [34, 4, 1, "", "_normalizedIdentifier"], [34, 4, 1, "", "_packageReferences"], [34, 4, 1, "", "_parent"], [34, 4, 1, "", "_referencedContexts"], [34, 4, 1, "", "_referencedLibraries"], [34, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.DesignUnit.UseClause": [[34, 2, 1, "", "HasClassAttributes"], [34, 2, 1, "", "HasMethodAttributes"], [34, 2, 1, "", "Parent"], [34, 2, 1, "", "Symbols"], [34, 3, 1, "", "__init__"], [34, 4, 1, "", "_parent"]], "pyVHDLModel.DesignUnitKind": [[28, 4, 1, "", "All"], [28, 4, 1, "", "Architecture"], [28, 4, 1, "", "Configuration"], [28, 4, 1, "", "Context"], [28, 4, 1, "", "Entity"], [28, 4, 1, "", "Package"], [28, 4, 1, "", "PackageBody"], [28, 4, 1, "", "Primary"], [28, 4, 1, "", "Secondary"], [28, 4, 1, "", "WithContext"], [28, 4, 1, "", "WithDeclaredItems"], [28, 3, 1, "", "__bool__"], [28, 3, 1, "", "__contains__"], [28, 3, 1, "", "__iter__"], [28, 3, 1, "", "__len__"], [28, 3, 1, "", "__new__"], [28, 3, 1, "", "__or__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__ror__"], [28, 3, 1, "", "__str__"], [28, 3, 1, "", "_generate_next_value_"], [28, 3, 1, "", "_iter_member_"], [28, 3, 1, "", "_iter_member_by_def_"], [28, 3, 1, "", "_iter_member_by_value_"], [28, 3, 1, "", "_missing_"], [28, 3, 1, "", "_numeric_repr_"]], "pyVHDLModel.Document": [[28, 2, 1, "", "Architectures"], [28, 2, 1, "", "CompileOrderVertex"], [28, 2, 1, "", "Configurations"], [28, 2, 1, "", "Contexts"], [28, 2, 1, "", "DesignUnits"], [28, 2, 1, "", "Documentation"], [28, 2, 1, "", "Entities"], [28, 2, 1, "", "HasClassAttributes"], [28, 2, 1, "", "HasMethodAttributes"], [28, 3, 1, "", "IterateDesignUnits"], [28, 2, 1, "", "PackageBodies"], [28, 2, 1, "", "Packages"], [28, 2, 1, "", "Parent"], [28, 2, 1, "", "Path"], [28, 2, 1, "", "VerificationModes"], [28, 2, 1, "", "VerificationProperties"], [28, 2, 1, "", "VerificationUnits"], [28, 3, 1, "", "_AddArchitecture"], [28, 3, 1, "", "_AddConfiguration"], [28, 3, 1, "", "_AddContext"], [28, 3, 1, "", "_AddDesignUnit"], [28, 3, 1, "", "_AddEntity"], [28, 3, 1, "", "_AddPackage"], [28, 3, 1, "", "_AddPackageBody"], [28, 3, 1, "", "__init__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__str__"], [28, 4, 1, "", "_architectures"], [28, 4, 1, "", "_compileOrderVertex"], [28, 4, 1, "", "_configurations"], [28, 4, 1, "", "_contexts"], [28, 4, 1, "", "_dependencyVertex"], [28, 4, 1, "", "_designUnits"], [28, 4, 1, "", "_documentation"], [28, 4, 1, "", "_entities"], [28, 4, 1, "", "_packageBodies"], [28, 4, 1, "", "_packages"], [28, 4, 1, "", "_parent"], [28, 4, 1, "", "_path"], [28, 4, 1, "", "_verificationModes"], [28, 4, 1, "", "_verificationProperties"], [28, 4, 1, "", "_verificationUnits"]], "pyVHDLModel.Exception": [[35, 6, 1, "", "ArchitectureExistsInLibraryError"], [35, 6, 1, "", "ConfigurationExistsInLibraryError"], [35, 6, 1, "", "ContextExistsInLibraryError"], [35, 6, 1, "", "EntityExistsInLibraryError"], [35, 6, 1, "", "LibraryExistsInDesignError"], [35, 6, 1, "", "LibraryNotRegisteredError"], [35, 6, 1, "", "LibraryRegisteredToForeignDesignError"], [35, 6, 1, "", "PackageBodyExistsError"], [35, 6, 1, "", "PackageExistsInLibraryError"], [35, 6, 1, "", "ReferencedLibraryNotExistingError"], [35, 6, 1, "", "VHDLModelException"]], "pyVHDLModel.Expression": [[36, 1, 1, "", "AbsoluteExpression"], [36, 1, 1, "", "AddingExpression"], [36, 1, 1, "", "AdditionExpression"], [36, 1, 1, "", "Aggregate"], [36, 1, 1, "", "AggregateElement"], [36, 1, 1, "", "Allocation"], [36, 1, 1, "", "AndExpression"], [36, 1, 1, "", "AscendingRangeExpression"], [36, 1, 1, "", "BaseExpression"], [36, 1, 1, "", "BinaryExpression"], [36, 1, 1, "", "BitStringLiteral"], [36, 1, 1, "", "CharacterLiteral"], [36, 1, 1, "", "ConcatenationExpression"], [36, 1, 1, "", "DescendingRangeExpression"], [36, 1, 1, "", "DivisionExpression"], [36, 1, 1, "", "EnumerationLiteral"], [36, 1, 1, "", "EqualExpression"], [36, 1, 1, "", "ExponentiationExpression"], [36, 1, 1, "", "FloatingPointLiteral"], [36, 1, 1, "", "FunctionCall"], [36, 1, 1, "", "GreaterEqualExpression"], [36, 1, 1, "", "GreaterThanExpression"], [36, 1, 1, "", "IdentityExpression"], [36, 1, 1, "", "IndexedAggregateElement"], [36, 1, 1, "", "IntegerLiteral"], [36, 1, 1, "", "InverseExpression"], [36, 1, 1, "", "LessEqualExpression"], [36, 1, 1, "", "LessThanExpression"], [36, 1, 1, "", "Literal"], [36, 1, 1, "", "LogicalExpression"], [36, 1, 1, "", "MatchingEqualExpression"], [36, 1, 1, "", "MatchingGreaterEqualExpression"], [36, 1, 1, "", "MatchingGreaterThanExpression"], [36, 1, 1, "", "MatchingLessEqualExpression"], [36, 1, 1, "", "MatchingLessThanExpression"], [36, 1, 1, "", "MatchingRelationalExpression"], [36, 1, 1, "", "MatchingUnequalExpression"], [36, 1, 1, "", "ModuloExpression"], [36, 1, 1, "", "MultiplyExpression"], [36, 1, 1, "", "MultiplyingExpression"], [36, 1, 1, "", "NamedAggregateElement"], [36, 1, 1, "", "NandExpression"], [36, 1, 1, "", "NegationExpression"], [36, 1, 1, "", "NorExpression"], [36, 1, 1, "", "NullLiteral"], [36, 1, 1, "", "NumericLiteral"], [36, 1, 1, "", "OrExpression"], [36, 1, 1, "", "OthersAggregateElement"], [36, 1, 1, "", "ParenthesisExpression"], [36, 1, 1, "", "PhysicalFloatingLiteral"], [36, 1, 1, "", "PhysicalIntegerLiteral"], [36, 1, 1, "", "PhysicalLiteral"], [36, 1, 1, "", "QualifiedExpression"], [36, 1, 1, "", "QualifiedExpressionAllocation"], [36, 1, 1, "", "RangeExpression"], [36, 1, 1, "", "RangedAggregateElement"], [36, 1, 1, "", "RelationalExpression"], [36, 1, 1, "", "RemainderExpression"], [36, 1, 1, "", "RotateExpression"], [36, 1, 1, "", "RotateLeftExpression"], [36, 1, 1, "", "RotateRightExpression"], [36, 1, 1, "", "ShiftArithmeticExpression"], [36, 1, 1, "", "ShiftExpression"], [36, 1, 1, "", "ShiftLeftArithmeticExpression"], [36, 1, 1, "", "ShiftLeftLogicExpression"], [36, 1, 1, "", "ShiftLogicExpression"], [36, 1, 1, "", "ShiftRightArithmeticExpression"], [36, 1, 1, "", "ShiftRightLogicExpression"], [36, 1, 1, "", "SimpleAggregateElement"], [36, 1, 1, "", "StringLiteral"], [36, 1, 1, "", "SubExpression"], [36, 1, 1, "", "SubtractionExpression"], [36, 1, 1, "", "SubtypeAllocation"], [36, 1, 1, "", "TernaryExpression"], [36, 1, 1, "", "TypeConversion"], [36, 1, 1, "", "UnaryAndExpression"], [36, 1, 1, "", "UnaryExpression"], [36, 1, 1, "", "UnaryNandExpression"], [36, 1, 1, "", "UnaryNorExpression"], [36, 1, 1, "", "UnaryOrExpression"], [36, 1, 1, "", "UnaryXnorExpression"], [36, 1, 1, "", "UnaryXorExpression"], [36, 1, 1, "", "UnequalExpression"], [36, 1, 1, "", "WhenElseExpression"], [36, 1, 1, "", "XnorExpression"], [36, 1, 1, "", "XorExpression"]], "pyVHDLModel.Expression.AbsoluteExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.AddingExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.AdditionExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.Aggregate": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.AggregateElement": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.Allocation": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.AndExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.AscendingRangeExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.BaseExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.BinaryExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.BitStringLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.CharacterLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ConcatenationExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.DescendingRangeExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.DivisionExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.EnumerationLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.EqualExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ExponentiationExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.FloatingPointLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.FunctionCall": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.GreaterEqualExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.GreaterThanExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.IdentityExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.IndexedAggregateElement": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.IntegerLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.InverseExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.LessEqualExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.LessThanExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.Literal": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.LogicalExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MatchingEqualExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MatchingGreaterEqualExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MatchingGreaterThanExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MatchingLessEqualExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MatchingLessThanExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MatchingRelationalExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MatchingUnequalExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ModuloExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MultiplyExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.MultiplyingExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.NamedAggregateElement": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.NandExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.NegationExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.NorExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.NullLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.NumericLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.OrExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.OthersAggregateElement": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.PhysicalFloatingLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.PhysicalIntegerLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.PhysicalLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.QualifiedExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.QualifiedExpressionAllocation": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.RangeExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.RangedAggregateElement": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.RelationalExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.RemainderExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.RotateExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.RotateLeftExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.RotateRightExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ShiftArithmeticExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ShiftExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ShiftLeftArithmeticExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ShiftLeftLogicExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ShiftLogicExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ShiftRightArithmeticExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.ShiftRightLogicExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.SimpleAggregateElement": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.StringLiteral": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.SubExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.SubtractionExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.SubtypeAllocation": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.TernaryExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.TypeConversion": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.UnaryAndExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.UnaryExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.UnaryNandExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.UnaryNorExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.UnaryOrExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.UnaryXnorExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.UnaryXorExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.UnequalExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.WhenElseExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.XnorExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.Expression.XorExpression": [[36, 2, 1, "", "HasClassAttributes"], [36, 2, 1, "", "HasMethodAttributes"], [36, 2, 1, "", "Parent"], [36, 3, 1, "", "__init__"], [36, 3, 1, "", "__str__"], [36, 4, 1, "", "_parent"]], "pyVHDLModel.IEEE": [[37, 1, 1, "", "Fixed_Float_Types"], [37, 1, 1, "", "Fixed_Generic_Pkg"], [37, 1, 1, "", "Fixed_Generic_Pkg_Body"], [37, 1, 1, "", "Fixed_Pkg"], [37, 1, 1, "", "Float_Generic_Pkg"], [37, 1, 1, "", "Float_Generic_Pkg_Body"], [37, 1, 1, "", "Float_Pkg"], [37, 1, 1, "", "Ieee"], [37, 1, 1, "", "Math_Complex"], [37, 1, 1, "", "Math_Complex_Body"], [37, 1, 1, "", "Math_Real"], [37, 1, 1, "", "Math_Real_Body"], [37, 1, 1, "", "Numeric_Bit"], [37, 1, 1, "", "Numeric_Bit_Body"], [37, 1, 1, "", "Numeric_Bit_Unsigned"], [37, 1, 1, "", "Numeric_Bit_Unsigned_Body"], [37, 1, 1, "", "Numeric_Std"], [37, 1, 1, "", "Numeric_Std_Body"], [37, 1, 1, "", "Numeric_Std_Unsigned"], [37, 1, 1, "", "Numeric_Std_Unsigned_Body"], [37, 1, 1, "", "Std_logic_1164"], [37, 1, 1, "", "Std_logic_1164_Body"], [37, 1, 1, "", "Std_logic_misc"], [37, 1, 1, "", "Std_logic_misc_Body"], [37, 1, 1, "", "std_logic_textio"]], "pyVHDLModel.IEEE.Fixed_Float_Types": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Fixed_Generic_Pkg": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Fixed_Generic_Pkg_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Fixed_Pkg": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Float_Generic_Pkg": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Float_Generic_Pkg_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Float_Pkg": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Ieee": [[37, 2, 1, "", "Architectures"], [37, 2, 1, "", "Configurations"], [37, 2, 1, "", "Contexts"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Entities"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexArchitectures"], [37, 3, 1, "", "IndexEntities"], [37, 3, 1, "", "IndexPackageBodies"], [37, 3, 1, "", "IndexPackages"], [37, 3, 1, "", "IterateDesignUnits"], [37, 3, 1, "", "LinkArchitectures"], [37, 3, 1, "", "LinkPackageBodies"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageBodies"], [37, 2, 1, "", "Packages"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_architectures"], [37, 4, 1, "", "_configurations"], [37, 4, 1, "", "_contexts"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_entities"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageBodies"], [37, 4, 1, "", "_packages"], [37, 4, 1, "", "_parent"]], "pyVHDLModel.IEEE.Math_Complex": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Math_Complex_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Math_Real": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Math_Real_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Numeric_Bit": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Numeric_Bit_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Numeric_Bit_Unsigned": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Numeric_Bit_Unsigned_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Numeric_Std": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Numeric_Std_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Numeric_Std_Unsigned": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Numeric_Std_Unsigned_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Std_logic_1164": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Std_logic_1164_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Std_logic_misc": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.Std_logic_misc_Body": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.IEEE.std_logic_textio": [[37, 2, 1, "", "ContextItems"], [37, 2, 1, "", "ContextReferences"], [37, 2, 1, "", "DependencyVertex"], [37, 2, 1, "", "Documentation"], [37, 2, 1, "", "HasClassAttributes"], [37, 2, 1, "", "HasMethodAttributes"], [37, 2, 1, "", "HierarchyVertex"], [37, 2, 1, "", "Identifier"], [37, 3, 1, "", "IndexDeclaredItems"], [37, 2, 1, "", "LibraryReferences"], [37, 2, 1, "", "NormalizedIdentifier"], [37, 2, 1, "", "PackageReferences"], [37, 2, 1, "", "Parent"], [37, 3, 1, "", "__init__"], [37, 3, 1, "", "__repr__"], [37, 3, 1, "", "__str__"], [37, 4, 1, "", "_constants"], [37, 4, 1, "", "_contextItems"], [37, 4, 1, "", "_contextReferences"], [37, 4, 1, "", "_declaredItems"], [37, 4, 1, "", "_dependencyVertex"], [37, 4, 1, "", "_document"], [37, 4, 1, "", "_documentation"], [37, 4, 1, "", "_files"], [37, 4, 1, "", "_functions"], [37, 4, 1, "", "_hierarchyVertex"], [37, 4, 1, "", "_identifier"], [37, 4, 1, "", "_libraryReferences"], [37, 4, 1, "", "_normalizedIdentifier"], [37, 4, 1, "", "_packageReferences"], [37, 4, 1, "", "_parent"], [37, 4, 1, "", "_procedures"], [37, 4, 1, "", "_referencedContexts"], [37, 4, 1, "", "_referencedLibraries"], [37, 4, 1, "", "_referencedPackages"], [37, 4, 1, "", "_sharedVariables"], [37, 4, 1, "", "_signals"], [37, 4, 1, "", "_subtypes"], [37, 4, 1, "", "_types"]], "pyVHDLModel.Instantiation": [[38, 1, 1, "", "FunctionInstantiation"], [38, 1, 1, "", "GenericEntityInstantiationMixin"], [38, 1, 1, "", "GenericInstantiationMixin"], [38, 1, 1, "", "PackageInstantiation"], [38, 1, 1, "", "ProcedureInstantiation"], [38, 1, 1, "", "SubprogramInstantiationMixin"]], "pyVHDLModel.Instantiation.FunctionInstantiation": [[38, 2, 1, "", "Documentation"], [38, 2, 1, "", "HasClassAttributes"], [38, 2, 1, "", "HasMethodAttributes"], [38, 2, 1, "", "Identifier"], [38, 2, 1, "", "NormalizedIdentifier"], [38, 2, 1, "", "Parent"], [38, 3, 1, "", "__init__"], [38, 4, 1, "", "_documentation"], [38, 4, 1, "", "_identifier"], [38, 4, 1, "", "_normalizedIdentifier"], [38, 4, 1, "", "_parent"]], "pyVHDLModel.Instantiation.GenericEntityInstantiationMixin": [[38, 2, 1, "", "HasClassAttributes"], [38, 2, 1, "", "HasMethodAttributes"], [38, 3, 1, "", "__init__"]], "pyVHDLModel.Instantiation.GenericInstantiationMixin": [[38, 2, 1, "", "HasClassAttributes"], [38, 2, 1, "", "HasMethodAttributes"], [38, 3, 1, "", "__init__"]], "pyVHDLModel.Instantiation.PackageInstantiation": [[38, 2, 1, "", "ContextItems"], [38, 2, 1, "", "ContextReferences"], [38, 2, 1, "", "DependencyVertex"], [38, 2, 1, "", "Documentation"], [38, 2, 1, "", "HasClassAttributes"], [38, 2, 1, "", "HasMethodAttributes"], [38, 2, 1, "", "HierarchyVertex"], [38, 2, 1, "", "Identifier"], [38, 2, 1, "", "LibraryReferences"], [38, 2, 1, "", "NormalizedIdentifier"], [38, 2, 1, "", "PackageReferences"], [38, 2, 1, "", "Parent"], [38, 3, 1, "", "__init__"], [38, 4, 1, "", "_contextItems"], [38, 4, 1, "", "_contextReferences"], [38, 4, 1, "", "_dependencyVertex"], [38, 4, 1, "", "_document"], [38, 4, 1, "", "_documentation"], [38, 4, 1, "", "_hierarchyVertex"], [38, 4, 1, "", "_identifier"], [38, 4, 1, "", "_libraryReferences"], [38, 4, 1, "", "_normalizedIdentifier"], [38, 4, 1, "", "_packageReferences"], [38, 4, 1, "", "_parent"], [38, 4, 1, "", "_referencedContexts"], [38, 4, 1, "", "_referencedLibraries"], [38, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.Instantiation.ProcedureInstantiation": [[38, 2, 1, "", "Documentation"], [38, 2, 1, "", "HasClassAttributes"], [38, 2, 1, "", "HasMethodAttributes"], [38, 2, 1, "", "Identifier"], [38, 2, 1, "", "NormalizedIdentifier"], [38, 2, 1, "", "Parent"], [38, 3, 1, "", "__init__"], [38, 4, 1, "", "_documentation"], [38, 4, 1, "", "_identifier"], [38, 4, 1, "", "_normalizedIdentifier"], [38, 4, 1, "", "_parent"]], "pyVHDLModel.Instantiation.SubprogramInstantiationMixin": [[38, 2, 1, "", "HasClassAttributes"], [38, 2, 1, "", "HasMethodAttributes"], [38, 3, 1, "", "__init__"]], "pyVHDLModel.Interface": [[39, 1, 1, "", "GenericConstantInterfaceItem"], [39, 1, 1, "", "GenericFunctionInterfaceItem"], [39, 1, 1, "", "GenericInterfaceItemMixin"], [39, 1, 1, "", "GenericPackageInterfaceItem"], [39, 1, 1, "", "GenericProcedureInterfaceItem"], [39, 1, 1, "", "GenericSubprogramInterfaceItem"], [39, 1, 1, "", "GenericTypeInterfaceItem"], [39, 1, 1, "", "InterfaceItemMixin"], [39, 1, 1, "", "InterfaceItemWithModeMixin"], [39, 1, 1, "", "ParameterConstantInterfaceItem"], [39, 1, 1, "", "ParameterFileInterfaceItem"], [39, 1, 1, "", "ParameterInterfaceItemMixin"], [39, 1, 1, "", "ParameterSignalInterfaceItem"], [39, 1, 1, "", "ParameterVariableInterfaceItem"], [39, 1, 1, "", "PortInterfaceItemMixin"], [39, 1, 1, "", "PortSignalInterfaceItem"]], "pyVHDLModel.Interface.GenericConstantInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifiers"], [39, 2, 1, "", "NormalizedIdentifiers"], [39, 2, 1, "", "ObjectVertex"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifiers"], [39, 4, 1, "", "_normalizedIdentifiers"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Interface.GenericFunctionInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifier"], [39, 2, 1, "", "NormalizedIdentifier"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifier"], [39, 4, 1, "", "_normalizedIdentifier"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Interface.GenericInterfaceItemMixin": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"]], "pyVHDLModel.Interface.GenericPackageInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"]], "pyVHDLModel.Interface.GenericProcedureInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifier"], [39, 2, 1, "", "NormalizedIdentifier"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifier"], [39, 4, 1, "", "_normalizedIdentifier"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Interface.GenericSubprogramInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"]], "pyVHDLModel.Interface.GenericTypeInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifier"], [39, 2, 1, "", "NormalizedIdentifier"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifier"], [39, 4, 1, "", "_normalizedIdentifier"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Interface.InterfaceItemMixin": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"]], "pyVHDLModel.Interface.InterfaceItemWithModeMixin": [[39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 3, 1, "", "__init__"]], "pyVHDLModel.Interface.ParameterConstantInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifiers"], [39, 2, 1, "", "NormalizedIdentifiers"], [39, 2, 1, "", "ObjectVertex"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifiers"], [39, 4, 1, "", "_normalizedIdentifiers"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Interface.ParameterFileInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifiers"], [39, 2, 1, "", "NormalizedIdentifiers"], [39, 2, 1, "", "ObjectVertex"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifiers"], [39, 4, 1, "", "_normalizedIdentifiers"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Interface.ParameterInterfaceItemMixin": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"]], "pyVHDLModel.Interface.ParameterSignalInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifiers"], [39, 2, 1, "", "NormalizedIdentifiers"], [39, 2, 1, "", "ObjectVertex"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifiers"], [39, 4, 1, "", "_normalizedIdentifiers"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Interface.ParameterVariableInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifiers"], [39, 2, 1, "", "NormalizedIdentifiers"], [39, 2, 1, "", "ObjectVertex"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifiers"], [39, 4, 1, "", "_normalizedIdentifiers"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Interface.PortInterfaceItemMixin": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"]], "pyVHDLModel.Interface.PortSignalInterfaceItem": [[39, 2, 1, "", "Documentation"], [39, 2, 1, "", "HasClassAttributes"], [39, 2, 1, "", "HasMethodAttributes"], [39, 2, 1, "", "Identifiers"], [39, 2, 1, "", "NormalizedIdentifiers"], [39, 2, 1, "", "ObjectVertex"], [39, 2, 1, "", "Parent"], [39, 3, 1, "", "__init__"], [39, 4, 1, "", "_documentation"], [39, 4, 1, "", "_identifiers"], [39, 4, 1, "", "_normalizedIdentifiers"], [39, 4, 1, "", "_parent"]], "pyVHDLModel.Library": [[28, 2, 1, "", "Architectures"], [28, 2, 1, "", "Configurations"], [28, 2, 1, "", "Contexts"], [28, 2, 1, "", "DependencyVertex"], [28, 2, 1, "", "Entities"], [28, 2, 1, "", "HasClassAttributes"], [28, 2, 1, "", "HasMethodAttributes"], [28, 2, 1, "", "Identifier"], [28, 3, 1, "", "IndexArchitectures"], [28, 3, 1, "", "IndexEntities"], [28, 3, 1, "", "IndexPackageBodies"], [28, 3, 1, "", "IndexPackages"], [28, 3, 1, "", "IterateDesignUnits"], [28, 3, 1, "", "LinkArchitectures"], [28, 3, 1, "", "LinkPackageBodies"], [28, 2, 1, "", "NormalizedIdentifier"], [28, 2, 1, "", "PackageBodies"], [28, 2, 1, "", "Packages"], [28, 2, 1, "", "Parent"], [28, 3, 1, "", "__init__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__str__"], [28, 4, 1, "", "_architectures"], [28, 4, 1, "", "_configurations"], [28, 4, 1, "", "_contexts"], [28, 4, 1, "", "_dependencyVertex"], [28, 4, 1, "", "_entities"], [28, 4, 1, "", "_identifier"], [28, 4, 1, "", "_normalizedIdentifier"], [28, 4, 1, "", "_packageBodies"], [28, 4, 1, "", "_packages"], [28, 4, 1, "", "_parent"]], "pyVHDLModel.Name": [[40, 1, 1, "", "AllName"], [40, 1, 1, "", "AttributeName"], [40, 1, 1, "", "IndexedName"], [40, 1, 1, "", "Name"], [40, 1, 1, "", "OpenName"], [40, 1, 1, "", "ParenthesisName"], [40, 1, 1, "", "SelectedName"], [40, 1, 1, "", "SimpleName"], [40, 1, 1, "", "SlicedName"]], "pyVHDLModel.Name.AllName": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Name.AttributeName": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Name.IndexedName": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Name.Name": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Name.OpenName": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Name.ParenthesisName": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Name.SelectedName": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Name.SimpleName": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Name.SlicedName": [[40, 2, 1, "", "HasClassAttributes"], [40, 2, 1, "", "HasMethodAttributes"], [40, 2, 1, "", "HasPrefix"], [40, 2, 1, "", "Identifier"], [40, 2, 1, "", "NormalizedIdentifier"], [40, 2, 1, "", "Parent"], [40, 2, 1, "", "Prefix"], [40, 2, 1, "", "Root"], [40, 3, 1, "", "__init__"], [40, 3, 1, "", "__repr__"], [40, 3, 1, "", "__str__"], [40, 4, 1, "", "_parent"]], "pyVHDLModel.Object": [[42, 1, 1, "", "BaseConstant"], [42, 1, 1, "", "Constant"], [42, 1, 1, "", "DeferredConstant"], [42, 1, 1, "", "File"], [42, 1, 1, "", "Obj"], [42, 1, 1, "", "SharedVariable"], [42, 1, 1, "", "Signal"], [42, 1, 1, "", "Variable"], [42, 1, 1, "", "WithDefaultExpressionMixin"]], "pyVHDLModel.Object.BaseConstant": [[42, 2, 1, "", "Documentation"], [42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 2, 1, "", "Identifiers"], [42, 2, 1, "", "NormalizedIdentifiers"], [42, 2, 1, "", "ObjectVertex"], [42, 2, 1, "", "Parent"], [42, 3, 1, "", "__init__"], [42, 4, 1, "", "_documentation"], [42, 4, 1, "", "_identifiers"], [42, 4, 1, "", "_normalizedIdentifiers"], [42, 4, 1, "", "_parent"]], "pyVHDLModel.Object.Constant": [[42, 2, 1, "", "Documentation"], [42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 2, 1, "", "Identifiers"], [42, 2, 1, "", "NormalizedIdentifiers"], [42, 2, 1, "", "ObjectVertex"], [42, 2, 1, "", "Parent"], [42, 3, 1, "", "__init__"], [42, 4, 1, "", "_documentation"], [42, 4, 1, "", "_identifiers"], [42, 4, 1, "", "_normalizedIdentifiers"], [42, 4, 1, "", "_parent"]], "pyVHDLModel.Object.DeferredConstant": [[42, 2, 1, "", "Documentation"], [42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 2, 1, "", "Identifiers"], [42, 2, 1, "", "NormalizedIdentifiers"], [42, 2, 1, "", "ObjectVertex"], [42, 2, 1, "", "Parent"], [42, 3, 1, "", "__init__"], [42, 3, 1, "", "__str__"], [42, 4, 1, "", "_documentation"], [42, 4, 1, "", "_identifiers"], [42, 4, 1, "", "_normalizedIdentifiers"], [42, 4, 1, "", "_parent"]], "pyVHDLModel.Object.File": [[42, 2, 1, "", "Documentation"], [42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 2, 1, "", "Identifiers"], [42, 2, 1, "", "NormalizedIdentifiers"], [42, 2, 1, "", "ObjectVertex"], [42, 2, 1, "", "Parent"], [42, 3, 1, "", "__init__"], [42, 4, 1, "", "_documentation"], [42, 4, 1, "", "_identifiers"], [42, 4, 1, "", "_normalizedIdentifiers"], [42, 4, 1, "", "_parent"]], "pyVHDLModel.Object.Obj": [[42, 2, 1, "", "Documentation"], [42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 2, 1, "", "Identifiers"], [42, 2, 1, "", "NormalizedIdentifiers"], [42, 2, 1, "", "ObjectVertex"], [42, 2, 1, "", "Parent"], [42, 3, 1, "", "__init__"], [42, 4, 1, "", "_documentation"], [42, 4, 1, "", "_identifiers"], [42, 4, 1, "", "_normalizedIdentifiers"], [42, 4, 1, "", "_parent"]], "pyVHDLModel.Object.SharedVariable": [[42, 2, 1, "", "Documentation"], [42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 2, 1, "", "Identifiers"], [42, 2, 1, "", "NormalizedIdentifiers"], [42, 2, 1, "", "ObjectVertex"], [42, 2, 1, "", "Parent"], [42, 3, 1, "", "__init__"], [42, 4, 1, "", "_documentation"], [42, 4, 1, "", "_identifiers"], [42, 4, 1, "", "_normalizedIdentifiers"], [42, 4, 1, "", "_parent"]], "pyVHDLModel.Object.Signal": [[42, 2, 1, "", "Documentation"], [42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 2, 1, "", "Identifiers"], [42, 2, 1, "", "NormalizedIdentifiers"], [42, 2, 1, "", "ObjectVertex"], [42, 2, 1, "", "Parent"], [42, 3, 1, "", "__init__"], [42, 4, 1, "", "_documentation"], [42, 4, 1, "", "_identifiers"], [42, 4, 1, "", "_normalizedIdentifiers"], [42, 4, 1, "", "_parent"]], "pyVHDLModel.Object.Variable": [[42, 2, 1, "", "Documentation"], [42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 2, 1, "", "Identifiers"], [42, 2, 1, "", "NormalizedIdentifiers"], [42, 2, 1, "", "ObjectVertex"], [42, 2, 1, "", "Parent"], [42, 3, 1, "", "__init__"], [42, 4, 1, "", "_documentation"], [42, 4, 1, "", "_identifiers"], [42, 4, 1, "", "_normalizedIdentifiers"], [42, 4, 1, "", "_parent"]], "pyVHDLModel.Object.WithDefaultExpressionMixin": [[42, 2, 1, "", "HasClassAttributes"], [42, 2, 1, "", "HasMethodAttributes"], [42, 3, 1, "", "__init__"]], "pyVHDLModel.ObjectClass": [[28, 4, 1, "", "Constant"], [28, 4, 1, "", "Default"], [28, 4, 1, "", "File"], [28, 4, 1, "", "Function"], [28, 4, 1, "", "Procedure"], [28, 4, 1, "", "Signal"], [28, 4, 1, "", "Type"], [28, 4, 1, "", "Variable"], [28, 3, 1, "", "__str__"]], "pyVHDLModel.ObjectGraphEdgeKind": [[28, 3, 1, "", "__bool__"], [28, 3, 1, "", "__contains__"], [28, 3, 1, "", "__iter__"], [28, 3, 1, "", "__len__"], [28, 3, 1, "", "__new__"], [28, 3, 1, "", "__or__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__ror__"], [28, 3, 1, "", "__str__"], [28, 3, 1, "", "_generate_next_value_"], [28, 3, 1, "", "_iter_member_"], [28, 3, 1, "", "_iter_member_by_def_"], [28, 3, 1, "", "_iter_member_by_value_"], [28, 3, 1, "", "_missing_"], [28, 3, 1, "", "_numeric_repr_"]], "pyVHDLModel.ObjectGraphVertexKind": [[28, 3, 1, "", "__bool__"], [28, 3, 1, "", "__contains__"], [28, 3, 1, "", "__iter__"], [28, 3, 1, "", "__len__"], [28, 3, 1, "", "__new__"], [28, 3, 1, "", "__or__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__ror__"], [28, 3, 1, "", "__str__"], [28, 3, 1, "", "_generate_next_value_"], [28, 3, 1, "", "_iter_member_"], [28, 3, 1, "", "_iter_member_by_def_"], [28, 3, 1, "", "_iter_member_by_value_"], [28, 3, 1, "", "_missing_"], [28, 3, 1, "", "_numeric_repr_"]], "pyVHDLModel.PSLModel": [[43, 1, 1, "", "DefaultClock"], [43, 1, 1, "", "PSLEntity"], [43, 1, 1, "", "PSLPrimaryUnit"], [43, 1, 1, "", "VerificationMode"], [43, 1, 1, "", "VerificationProperty"], [43, 1, 1, "", "VerificationUnit"]], "pyVHDLModel.PSLModel.DefaultClock": [[43, 2, 1, "", "HasClassAttributes"], [43, 2, 1, "", "HasMethodAttributes"], [43, 2, 1, "", "Identifier"], [43, 2, 1, "", "NormalizedIdentifier"], [43, 2, 1, "", "Parent"], [43, 3, 1, "", "__init__"], [43, 4, 1, "", "_identifier"], [43, 4, 1, "", "_normalizedIdentifier"], [43, 4, 1, "", "_parent"]], "pyVHDLModel.PSLModel.PSLEntity": [[43, 2, 1, "", "HasClassAttributes"], [43, 2, 1, "", "HasMethodAttributes"], [43, 2, 1, "", "Parent"], [43, 3, 1, "", "__init__"], [43, 4, 1, "", "_parent"]], "pyVHDLModel.PSLModel.PSLPrimaryUnit": [[43, 2, 1, "", "ContextItems"], [43, 2, 1, "", "ContextReferences"], [43, 2, 1, "", "DependencyVertex"], [43, 2, 1, "", "Documentation"], [43, 2, 1, "", "HasClassAttributes"], [43, 2, 1, "", "HasMethodAttributes"], [43, 2, 1, "", "HierarchyVertex"], [43, 2, 1, "", "Identifier"], [43, 2, 1, "", "LibraryReferences"], [43, 2, 1, "", "NormalizedIdentifier"], [43, 2, 1, "", "PackageReferences"], [43, 2, 1, "", "Parent"], [43, 3, 1, "", "__init__"], [43, 4, 1, "", "_contextItems"], [43, 4, 1, "", "_contextReferences"], [43, 4, 1, "", "_dependencyVertex"], [43, 4, 1, "", "_document"], [43, 4, 1, "", "_documentation"], [43, 4, 1, "", "_hierarchyVertex"], [43, 4, 1, "", "_identifier"], [43, 4, 1, "", "_libraryReferences"], [43, 4, 1, "", "_normalizedIdentifier"], [43, 4, 1, "", "_packageReferences"], [43, 4, 1, "", "_parent"], [43, 4, 1, "", "_referencedContexts"], [43, 4, 1, "", "_referencedLibraries"], [43, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.PSLModel.VerificationMode": [[43, 2, 1, "", "ContextItems"], [43, 2, 1, "", "ContextReferences"], [43, 2, 1, "", "DependencyVertex"], [43, 2, 1, "", "Documentation"], [43, 2, 1, "", "HasClassAttributes"], [43, 2, 1, "", "HasMethodAttributes"], [43, 2, 1, "", "HierarchyVertex"], [43, 2, 1, "", "Identifier"], [43, 2, 1, "", "LibraryReferences"], [43, 2, 1, "", "NormalizedIdentifier"], [43, 2, 1, "", "PackageReferences"], [43, 2, 1, "", "Parent"], [43, 3, 1, "", "__init__"], [43, 4, 1, "", "_contextItems"], [43, 4, 1, "", "_contextReferences"], [43, 4, 1, "", "_dependencyVertex"], [43, 4, 1, "", "_document"], [43, 4, 1, "", "_documentation"], [43, 4, 1, "", "_hierarchyVertex"], [43, 4, 1, "", "_identifier"], [43, 4, 1, "", "_libraryReferences"], [43, 4, 1, "", "_normalizedIdentifier"], [43, 4, 1, "", "_packageReferences"], [43, 4, 1, "", "_parent"], [43, 4, 1, "", "_referencedContexts"], [43, 4, 1, "", "_referencedLibraries"], [43, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.PSLModel.VerificationProperty": [[43, 2, 1, "", "ContextItems"], [43, 2, 1, "", "ContextReferences"], [43, 2, 1, "", "DependencyVertex"], [43, 2, 1, "", "Documentation"], [43, 2, 1, "", "HasClassAttributes"], [43, 2, 1, "", "HasMethodAttributes"], [43, 2, 1, "", "HierarchyVertex"], [43, 2, 1, "", "Identifier"], [43, 2, 1, "", "LibraryReferences"], [43, 2, 1, "", "NormalizedIdentifier"], [43, 2, 1, "", "PackageReferences"], [43, 2, 1, "", "Parent"], [43, 3, 1, "", "__init__"], [43, 4, 1, "", "_contextItems"], [43, 4, 1, "", "_contextReferences"], [43, 4, 1, "", "_dependencyVertex"], [43, 4, 1, "", "_document"], [43, 4, 1, "", "_documentation"], [43, 4, 1, "", "_hierarchyVertex"], [43, 4, 1, "", "_identifier"], [43, 4, 1, "", "_libraryReferences"], [43, 4, 1, "", "_normalizedIdentifier"], [43, 4, 1, "", "_packageReferences"], [43, 4, 1, "", "_parent"], [43, 4, 1, "", "_referencedContexts"], [43, 4, 1, "", "_referencedLibraries"], [43, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.PSLModel.VerificationUnit": [[43, 2, 1, "", "ContextItems"], [43, 2, 1, "", "ContextReferences"], [43, 2, 1, "", "DependencyVertex"], [43, 2, 1, "", "Documentation"], [43, 2, 1, "", "HasClassAttributes"], [43, 2, 1, "", "HasMethodAttributes"], [43, 2, 1, "", "HierarchyVertex"], [43, 2, 1, "", "Identifier"], [43, 2, 1, "", "LibraryReferences"], [43, 2, 1, "", "NormalizedIdentifier"], [43, 2, 1, "", "PackageReferences"], [43, 2, 1, "", "Parent"], [43, 3, 1, "", "__init__"], [43, 4, 1, "", "_contextItems"], [43, 4, 1, "", "_contextReferences"], [43, 4, 1, "", "_dependencyVertex"], [43, 4, 1, "", "_document"], [43, 4, 1, "", "_documentation"], [43, 4, 1, "", "_hierarchyVertex"], [43, 4, 1, "", "_identifier"], [43, 4, 1, "", "_libraryReferences"], [43, 4, 1, "", "_normalizedIdentifier"], [43, 4, 1, "", "_packageReferences"], [43, 4, 1, "", "_parent"], [43, 4, 1, "", "_referencedContexts"], [43, 4, 1, "", "_referencedLibraries"], [43, 4, 1, "", "_referencedPackages"]], "pyVHDLModel.Predefined": [[44, 1, 1, "", "PredefinedLibrary"], [44, 1, 1, "", "PredefinedPackage"], [44, 1, 1, "", "PredefinedPackageBody"], [44, 1, 1, "", "PredefinedPackageMixin"]], "pyVHDLModel.Predefined.PredefinedLibrary": [[44, 2, 1, "", "Architectures"], [44, 2, 1, "", "Configurations"], [44, 2, 1, "", "Contexts"], [44, 2, 1, "", "DependencyVertex"], [44, 2, 1, "", "Entities"], [44, 2, 1, "", "HasClassAttributes"], [44, 2, 1, "", "HasMethodAttributes"], [44, 2, 1, "", "Identifier"], [44, 3, 1, "", "IndexArchitectures"], [44, 3, 1, "", "IndexEntities"], [44, 3, 1, "", "IndexPackageBodies"], [44, 3, 1, "", "IndexPackages"], [44, 3, 1, "", "IterateDesignUnits"], [44, 3, 1, "", "LinkArchitectures"], [44, 3, 1, "", "LinkPackageBodies"], [44, 2, 1, "", "NormalizedIdentifier"], [44, 2, 1, "", "PackageBodies"], [44, 2, 1, "", "Packages"], [44, 2, 1, "", "Parent"], [44, 3, 1, "", "__init__"], [44, 3, 1, "", "__repr__"], [44, 3, 1, "", "__str__"], [44, 4, 1, "", "_architectures"], [44, 4, 1, "", "_configurations"], [44, 4, 1, "", "_contexts"], [44, 4, 1, "", "_dependencyVertex"], [44, 4, 1, "", "_entities"], [44, 4, 1, "", "_identifier"], [44, 4, 1, "", "_normalizedIdentifier"], [44, 4, 1, "", "_packageBodies"], [44, 4, 1, "", "_packages"], [44, 4, 1, "", "_parent"]], "pyVHDLModel.Predefined.PredefinedPackage": [[44, 2, 1, "", "ContextItems"], [44, 2, 1, "", "ContextReferences"], [44, 2, 1, "", "DependencyVertex"], [44, 2, 1, "", "Documentation"], [44, 2, 1, "", "HasClassAttributes"], [44, 2, 1, "", "HasMethodAttributes"], [44, 2, 1, "", "HierarchyVertex"], [44, 2, 1, "", "Identifier"], [44, 3, 1, "", "IndexDeclaredItems"], [44, 2, 1, "", "LibraryReferences"], [44, 2, 1, "", "NormalizedIdentifier"], [44, 2, 1, "", "PackageReferences"], [44, 2, 1, "", "Parent"], [44, 3, 1, "", "__init__"], [44, 3, 1, "", "__repr__"], [44, 3, 1, "", "__str__"], [44, 4, 1, "", "_constants"], [44, 4, 1, "", "_contextItems"], [44, 4, 1, "", "_contextReferences"], [44, 4, 1, "", "_declaredItems"], [44, 4, 1, "", "_dependencyVertex"], [44, 4, 1, "", "_document"], [44, 4, 1, "", "_documentation"], [44, 4, 1, "", "_files"], [44, 4, 1, "", "_functions"], [44, 4, 1, "", "_hierarchyVertex"], [44, 4, 1, "", "_identifier"], [44, 4, 1, "", "_libraryReferences"], [44, 4, 1, "", "_normalizedIdentifier"], [44, 4, 1, "", "_packageReferences"], [44, 4, 1, "", "_parent"], [44, 4, 1, "", "_procedures"], [44, 4, 1, "", "_referencedContexts"], [44, 4, 1, "", "_referencedLibraries"], [44, 4, 1, "", "_referencedPackages"], [44, 4, 1, "", "_sharedVariables"], [44, 4, 1, "", "_signals"], [44, 4, 1, "", "_subtypes"], [44, 4, 1, "", "_types"]], "pyVHDLModel.Predefined.PredefinedPackageBody": [[44, 2, 1, "", "ContextItems"], [44, 2, 1, "", "ContextReferences"], [44, 2, 1, "", "DependencyVertex"], [44, 2, 1, "", "Documentation"], [44, 2, 1, "", "HasClassAttributes"], [44, 2, 1, "", "HasMethodAttributes"], [44, 2, 1, "", "HierarchyVertex"], [44, 2, 1, "", "Identifier"], [44, 3, 1, "", "IndexDeclaredItems"], [44, 2, 1, "", "LibraryReferences"], [44, 2, 1, "", "NormalizedIdentifier"], [44, 2, 1, "", "PackageReferences"], [44, 2, 1, "", "Parent"], [44, 3, 1, "", "__init__"], [44, 3, 1, "", "__repr__"], [44, 3, 1, "", "__str__"], [44, 4, 1, "", "_constants"], [44, 4, 1, "", "_contextItems"], [44, 4, 1, "", "_contextReferences"], [44, 4, 1, "", "_declaredItems"], [44, 4, 1, "", "_dependencyVertex"], [44, 4, 1, "", "_document"], [44, 4, 1, "", "_documentation"], [44, 4, 1, "", "_files"], [44, 4, 1, "", "_functions"], [44, 4, 1, "", "_hierarchyVertex"], [44, 4, 1, "", "_identifier"], [44, 4, 1, "", "_libraryReferences"], [44, 4, 1, "", "_normalizedIdentifier"], [44, 4, 1, "", "_packageReferences"], [44, 4, 1, "", "_parent"], [44, 4, 1, "", "_procedures"], [44, 4, 1, "", "_referencedContexts"], [44, 4, 1, "", "_referencedLibraries"], [44, 4, 1, "", "_referencedPackages"], [44, 4, 1, "", "_sharedVariables"], [44, 4, 1, "", "_signals"], [44, 4, 1, "", "_subtypes"], [44, 4, 1, "", "_types"]], "pyVHDLModel.Predefined.PredefinedPackageMixin": [[44, 2, 1, "", "HasClassAttributes"], [44, 2, 1, "", "HasMethodAttributes"]], "pyVHDLModel.Regions": [[45, 1, 1, "", "ConcurrentDeclarationRegionMixin"]], "pyVHDLModel.Regions.ConcurrentDeclarationRegionMixin": [[45, 2, 1, "", "HasClassAttributes"], [45, 2, 1, "", "HasMethodAttributes"], [45, 3, 1, "", "IndexDeclaredItems"], [45, 3, 1, "", "__init__"], [45, 4, 1, "", "_constants"], [45, 4, 1, "", "_declaredItems"], [45, 4, 1, "", "_files"], [45, 4, 1, "", "_functions"], [45, 4, 1, "", "_procedures"], [45, 4, 1, "", "_sharedVariables"], [45, 4, 1, "", "_signals"], [45, 4, 1, "", "_subtypes"], [45, 4, 1, "", "_types"]], "pyVHDLModel.STD": [[46, 1, 1, "", "Env"], [46, 1, 1, "", "Env_Body"], [46, 1, 1, "", "Standard"], [46, 1, 1, "", "Standard_Body"], [46, 1, 1, "", "Std"], [46, 1, 1, "", "TextIO"], [46, 1, 1, "", "TextIO_Body"]], "pyVHDLModel.STD.Env": [[46, 2, 1, "", "ContextItems"], [46, 2, 1, "", "ContextReferences"], [46, 2, 1, "", "DependencyVertex"], [46, 2, 1, "", "Documentation"], [46, 2, 1, "", "HasClassAttributes"], [46, 2, 1, "", "HasMethodAttributes"], [46, 2, 1, "", "HierarchyVertex"], [46, 2, 1, "", "Identifier"], [46, 3, 1, "", "IndexDeclaredItems"], [46, 2, 1, "", "LibraryReferences"], [46, 2, 1, "", "NormalizedIdentifier"], [46, 2, 1, "", "PackageReferences"], [46, 2, 1, "", "Parent"], [46, 3, 1, "", "__init__"], [46, 3, 1, "", "__repr__"], [46, 3, 1, "", "__str__"], [46, 4, 1, "", "_constants"], [46, 4, 1, "", "_contextItems"], [46, 4, 1, "", "_contextReferences"], [46, 4, 1, "", "_declaredItems"], [46, 4, 1, "", "_dependencyVertex"], [46, 4, 1, "", "_document"], [46, 4, 1, "", "_documentation"], [46, 4, 1, "", "_files"], [46, 4, 1, "", "_functions"], [46, 4, 1, "", "_hierarchyVertex"], [46, 4, 1, "", "_identifier"], [46, 4, 1, "", "_libraryReferences"], [46, 4, 1, "", "_normalizedIdentifier"], [46, 4, 1, "", "_packageReferences"], [46, 4, 1, "", "_parent"], [46, 4, 1, "", "_procedures"], [46, 4, 1, "", "_referencedContexts"], [46, 4, 1, "", "_referencedLibraries"], [46, 4, 1, "", "_referencedPackages"], [46, 4, 1, "", "_sharedVariables"], [46, 4, 1, "", "_signals"], [46, 4, 1, "", "_subtypes"], [46, 4, 1, "", "_types"]], "pyVHDLModel.STD.Env_Body": [[46, 2, 1, "", "ContextItems"], [46, 2, 1, "", "ContextReferences"], [46, 2, 1, "", "DependencyVertex"], [46, 2, 1, "", "Documentation"], [46, 2, 1, "", "HasClassAttributes"], [46, 2, 1, "", "HasMethodAttributes"], [46, 2, 1, "", "HierarchyVertex"], [46, 2, 1, "", "Identifier"], [46, 3, 1, "", "IndexDeclaredItems"], [46, 2, 1, "", "LibraryReferences"], [46, 2, 1, "", "NormalizedIdentifier"], [46, 2, 1, "", "PackageReferences"], [46, 2, 1, "", "Parent"], [46, 3, 1, "", "__init__"], [46, 3, 1, "", "__repr__"], [46, 3, 1, "", "__str__"], [46, 4, 1, "", "_constants"], [46, 4, 1, "", "_contextItems"], [46, 4, 1, "", "_contextReferences"], [46, 4, 1, "", "_declaredItems"], [46, 4, 1, "", "_dependencyVertex"], [46, 4, 1, "", "_document"], [46, 4, 1, "", "_documentation"], [46, 4, 1, "", "_files"], [46, 4, 1, "", "_functions"], [46, 4, 1, "", "_hierarchyVertex"], [46, 4, 1, "", "_identifier"], [46, 4, 1, "", "_libraryReferences"], [46, 4, 1, "", "_normalizedIdentifier"], [46, 4, 1, "", "_packageReferences"], [46, 4, 1, "", "_parent"], [46, 4, 1, "", "_procedures"], [46, 4, 1, "", "_referencedContexts"], [46, 4, 1, "", "_referencedLibraries"], [46, 4, 1, "", "_referencedPackages"], [46, 4, 1, "", "_sharedVariables"], [46, 4, 1, "", "_signals"], [46, 4, 1, "", "_subtypes"], [46, 4, 1, "", "_types"]], "pyVHDLModel.STD.Standard": [[46, 2, 1, "", "ContextItems"], [46, 2, 1, "", "ContextReferences"], [46, 2, 1, "", "DependencyVertex"], [46, 2, 1, "", "Documentation"], [46, 2, 1, "", "HasClassAttributes"], [46, 2, 1, "", "HasMethodAttributes"], [46, 2, 1, "", "HierarchyVertex"], [46, 2, 1, "", "Identifier"], [46, 3, 1, "", "IndexDeclaredItems"], [46, 2, 1, "", "LibraryReferences"], [46, 2, 1, "", "NormalizedIdentifier"], [46, 2, 1, "", "PackageReferences"], [46, 2, 1, "", "Parent"], [46, 3, 1, "", "__init__"], [46, 3, 1, "", "__repr__"], [46, 3, 1, "", "__str__"], [46, 4, 1, "", "_constants"], [46, 4, 1, "", "_contextItems"], [46, 4, 1, "", "_contextReferences"], [46, 4, 1, "", "_declaredItems"], [46, 4, 1, "", "_dependencyVertex"], [46, 4, 1, "", "_document"], [46, 4, 1, "", "_documentation"], [46, 4, 1, "", "_files"], [46, 4, 1, "", "_functions"], [46, 4, 1, "", "_hierarchyVertex"], [46, 4, 1, "", "_identifier"], [46, 4, 1, "", "_libraryReferences"], [46, 4, 1, "", "_normalizedIdentifier"], [46, 4, 1, "", "_packageReferences"], [46, 4, 1, "", "_parent"], [46, 4, 1, "", "_procedures"], [46, 4, 1, "", "_referencedContexts"], [46, 4, 1, "", "_referencedLibraries"], [46, 4, 1, "", "_referencedPackages"], [46, 4, 1, "", "_sharedVariables"], [46, 4, 1, "", "_signals"], [46, 4, 1, "", "_subtypes"], [46, 4, 1, "", "_types"]], "pyVHDLModel.STD.Standard_Body": [[46, 2, 1, "", "ContextItems"], [46, 2, 1, "", "ContextReferences"], [46, 2, 1, "", "DependencyVertex"], [46, 2, 1, "", "Documentation"], [46, 2, 1, "", "HasClassAttributes"], [46, 2, 1, "", "HasMethodAttributes"], [46, 2, 1, "", "HierarchyVertex"], [46, 2, 1, "", "Identifier"], [46, 3, 1, "", "IndexDeclaredItems"], [46, 2, 1, "", "LibraryReferences"], [46, 2, 1, "", "NormalizedIdentifier"], [46, 2, 1, "", "PackageReferences"], [46, 2, 1, "", "Parent"], [46, 3, 1, "", "__init__"], [46, 3, 1, "", "__repr__"], [46, 3, 1, "", "__str__"], [46, 4, 1, "", "_constants"], [46, 4, 1, "", "_contextItems"], [46, 4, 1, "", "_contextReferences"], [46, 4, 1, "", "_declaredItems"], [46, 4, 1, "", "_dependencyVertex"], [46, 4, 1, "", "_document"], [46, 4, 1, "", "_documentation"], [46, 4, 1, "", "_files"], [46, 4, 1, "", "_functions"], [46, 4, 1, "", "_hierarchyVertex"], [46, 4, 1, "", "_identifier"], [46, 4, 1, "", "_libraryReferences"], [46, 4, 1, "", "_normalizedIdentifier"], [46, 4, 1, "", "_packageReferences"], [46, 4, 1, "", "_parent"], [46, 4, 1, "", "_procedures"], [46, 4, 1, "", "_referencedContexts"], [46, 4, 1, "", "_referencedLibraries"], [46, 4, 1, "", "_referencedPackages"], [46, 4, 1, "", "_sharedVariables"], [46, 4, 1, "", "_signals"], [46, 4, 1, "", "_subtypes"], [46, 4, 1, "", "_types"]], "pyVHDLModel.STD.Std": [[46, 2, 1, "", "Architectures"], [46, 2, 1, "", "Configurations"], [46, 2, 1, "", "Contexts"], [46, 2, 1, "", "DependencyVertex"], [46, 2, 1, "", "Entities"], [46, 2, 1, "", "HasClassAttributes"], [46, 2, 1, "", "HasMethodAttributes"], [46, 2, 1, "", "Identifier"], [46, 3, 1, "", "IndexArchitectures"], [46, 3, 1, "", "IndexEntities"], [46, 3, 1, "", "IndexPackageBodies"], [46, 3, 1, "", "IndexPackages"], [46, 3, 1, "", "IterateDesignUnits"], [46, 3, 1, "", "LinkArchitectures"], [46, 3, 1, "", "LinkPackageBodies"], [46, 2, 1, "", "NormalizedIdentifier"], [46, 2, 1, "", "PackageBodies"], [46, 2, 1, "", "Packages"], [46, 2, 1, "", "Parent"], [46, 3, 1, "", "__init__"], [46, 3, 1, "", "__repr__"], [46, 3, 1, "", "__str__"], [46, 4, 1, "", "_architectures"], [46, 4, 1, "", "_configurations"], [46, 4, 1, "", "_contexts"], [46, 4, 1, "", "_dependencyVertex"], [46, 4, 1, "", "_entities"], [46, 4, 1, "", "_identifier"], [46, 4, 1, "", "_normalizedIdentifier"], [46, 4, 1, "", "_packageBodies"], [46, 4, 1, "", "_packages"], [46, 4, 1, "", "_parent"]], "pyVHDLModel.STD.TextIO": [[46, 2, 1, "", "ContextItems"], [46, 2, 1, "", "ContextReferences"], [46, 2, 1, "", "DependencyVertex"], [46, 2, 1, "", "Documentation"], [46, 2, 1, "", "HasClassAttributes"], [46, 2, 1, "", "HasMethodAttributes"], [46, 2, 1, "", "HierarchyVertex"], [46, 2, 1, "", "Identifier"], [46, 3, 1, "", "IndexDeclaredItems"], [46, 2, 1, "", "LibraryReferences"], [46, 2, 1, "", "NormalizedIdentifier"], [46, 2, 1, "", "PackageReferences"], [46, 2, 1, "", "Parent"], [46, 3, 1, "", "__init__"], [46, 3, 1, "", "__repr__"], [46, 3, 1, "", "__str__"], [46, 4, 1, "", "_constants"], [46, 4, 1, "", "_contextItems"], [46, 4, 1, "", "_contextReferences"], [46, 4, 1, "", "_declaredItems"], [46, 4, 1, "", "_dependencyVertex"], [46, 4, 1, "", "_document"], [46, 4, 1, "", "_documentation"], [46, 4, 1, "", "_files"], [46, 4, 1, "", "_functions"], [46, 4, 1, "", "_hierarchyVertex"], [46, 4, 1, "", "_identifier"], [46, 4, 1, "", "_libraryReferences"], [46, 4, 1, "", "_normalizedIdentifier"], [46, 4, 1, "", "_packageReferences"], [46, 4, 1, "", "_parent"], [46, 4, 1, "", "_procedures"], [46, 4, 1, "", "_referencedContexts"], [46, 4, 1, "", "_referencedLibraries"], [46, 4, 1, "", "_referencedPackages"], [46, 4, 1, "", "_sharedVariables"], [46, 4, 1, "", "_signals"], [46, 4, 1, "", "_subtypes"], [46, 4, 1, "", "_types"]], "pyVHDLModel.STD.TextIO_Body": [[46, 2, 1, "", "ContextItems"], [46, 2, 1, "", "ContextReferences"], [46, 2, 1, "", "DependencyVertex"], [46, 2, 1, "", "Documentation"], [46, 2, 1, "", "HasClassAttributes"], [46, 2, 1, "", "HasMethodAttributes"], [46, 2, 1, "", "HierarchyVertex"], [46, 2, 1, "", "Identifier"], [46, 3, 1, "", "IndexDeclaredItems"], [46, 2, 1, "", "LibraryReferences"], [46, 2, 1, "", "NormalizedIdentifier"], [46, 2, 1, "", "PackageReferences"], [46, 2, 1, "", "Parent"], [46, 3, 1, "", "__init__"], [46, 3, 1, "", "__repr__"], [46, 3, 1, "", "__str__"], [46, 4, 1, "", "_constants"], [46, 4, 1, "", "_contextItems"], [46, 4, 1, "", "_contextReferences"], [46, 4, 1, "", "_declaredItems"], [46, 4, 1, "", "_dependencyVertex"], [46, 4, 1, "", "_document"], [46, 4, 1, "", "_documentation"], [46, 4, 1, "", "_files"], [46, 4, 1, "", "_functions"], [46, 4, 1, "", "_hierarchyVertex"], [46, 4, 1, "", "_identifier"], [46, 4, 1, "", "_libraryReferences"], [46, 4, 1, "", "_normalizedIdentifier"], [46, 4, 1, "", "_packageReferences"], [46, 4, 1, "", "_parent"], [46, 4, 1, "", "_procedures"], [46, 4, 1, "", "_referencedContexts"], [46, 4, 1, "", "_referencedLibraries"], [46, 4, 1, "", "_referencedPackages"], [46, 4, 1, "", "_sharedVariables"], [46, 4, 1, "", "_signals"], [46, 4, 1, "", "_subtypes"], [46, 4, 1, "", "_types"]], "pyVHDLModel.Sequential": [[47, 1, 1, "", "Branch"], [47, 1, 1, "", "Case"], [47, 1, 1, "", "CaseStatement"], [47, 1, 1, "", "CompoundStatement"], [47, 1, 1, "", "ElseBranch"], [47, 1, 1, "", "ElsifBranch"], [47, 1, 1, "", "EndlessLoopStatement"], [47, 1, 1, "", "ExitStatement"], [47, 1, 1, "", "ForLoopStatement"], [47, 1, 1, "", "IfBranch"], [47, 1, 1, "", "IfStatement"], [47, 1, 1, "", "IndexedChoice"], [47, 1, 1, "", "LoopControlStatement"], [47, 1, 1, "", "LoopStatement"], [47, 1, 1, "", "NextStatement"], [47, 1, 1, "", "NullStatement"], [47, 1, 1, "", "OthersCase"], [47, 1, 1, "", "RangedChoice"], [47, 1, 1, "", "ReturnStatement"], [47, 1, 1, "", "SequentialAssertStatement"], [47, 1, 1, "", "SequentialCase"], [47, 1, 1, "", "SequentialChoice"], [47, 1, 1, "", "SequentialDeclarationsMixin"], [47, 1, 1, "", "SequentialProcedureCall"], [47, 1, 1, "", "SequentialReportStatement"], [47, 1, 1, "", "SequentialSignalAssignment"], [47, 1, 1, "", "SequentialSimpleSignalAssignment"], [47, 1, 1, "", "SequentialStatement"], [47, 1, 1, "", "SequentialStatementsMixin"], [47, 1, 1, "", "SequentialVariableAssignment"], [47, 1, 1, "", "WaitStatement"], [47, 1, 1, "", "WhileLoopStatement"]], "pyVHDLModel.Sequential.Branch": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.Case": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 3, 1, "", "__str__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.CaseStatement": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.CompoundStatement": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.ElseBranch": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.ElsifBranch": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.EndlessLoopStatement": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.ExitStatement": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.ForLoopStatement": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.IfBranch": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.IfStatement": [[47, 2, 1, "", "ElsIfBranches"], [47, 2, 1, "", "ElseBranch"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "IfBranch"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.IndexedChoice": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 3, 1, "", "__str__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.LoopControlStatement": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.LoopStatement": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.NextStatement": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.NullStatement": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.OthersCase": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 3, 1, "", "__str__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.RangedChoice": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 3, 1, "", "__str__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.ReturnStatement": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialAssertStatement": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialCase": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialChoice": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialDeclarationsMixin": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 3, 1, "", "__init__"]], "pyVHDLModel.Sequential.SequentialProcedureCall": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialReportStatement": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialSignalAssignment": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialSimpleSignalAssignment": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Waveform"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialStatement": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.SequentialStatementsMixin": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"]], "pyVHDLModel.Sequential.SequentialVariableAssignment": [[47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.WaitStatement": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Sequential.WhileLoopStatement": [[47, 2, 1, "", "Condition"], [47, 2, 1, "", "HasClassAttributes"], [47, 2, 1, "", "HasMethodAttributes"], [47, 2, 1, "", "Label"], [47, 2, 1, "", "NormalizedLabel"], [47, 2, 1, "", "Parent"], [47, 2, 1, "", "Statements"], [47, 3, 1, "", "__init__"], [47, 4, 1, "", "_label"], [47, 4, 1, "", "_normalizedLabel"], [47, 4, 1, "", "_parent"]], "pyVHDLModel.Subprogram": [[48, 1, 1, "", "Function"], [48, 1, 1, "", "FunctionMethod"], [48, 1, 1, "", "MethodMixin"], [48, 1, 1, "", "Procedure"], [48, 1, 1, "", "ProcedureMethod"], [48, 1, 1, "", "Subprogram"]], "pyVHDLModel.Subprogram.Function": [[48, 2, 1, "", "Documentation"], [48, 2, 1, "", "HasClassAttributes"], [48, 2, 1, "", "HasMethodAttributes"], [48, 2, 1, "", "Identifier"], [48, 2, 1, "", "NormalizedIdentifier"], [48, 2, 1, "", "Parent"], [48, 3, 1, "", "__init__"], [48, 4, 1, "", "_documentation"], [48, 4, 1, "", "_identifier"], [48, 4, 1, "", "_normalizedIdentifier"], [48, 4, 1, "", "_parent"]], "pyVHDLModel.Subprogram.FunctionMethod": [[48, 2, 1, "", "Documentation"], [48, 2, 1, "", "HasClassAttributes"], [48, 2, 1, "", "HasMethodAttributes"], [48, 2, 1, "", "Identifier"], [48, 2, 1, "", "NormalizedIdentifier"], [48, 2, 1, "", "Parent"], [48, 3, 1, "", "__init__"], [48, 4, 1, "", "_documentation"], [48, 4, 1, "", "_identifier"], [48, 4, 1, "", "_normalizedIdentifier"], [48, 4, 1, "", "_parent"]], "pyVHDLModel.Subprogram.MethodMixin": [[48, 2, 1, "", "HasClassAttributes"], [48, 2, 1, "", "HasMethodAttributes"], [48, 3, 1, "", "__init__"]], "pyVHDLModel.Subprogram.Procedure": [[48, 2, 1, "", "Documentation"], [48, 2, 1, "", "HasClassAttributes"], [48, 2, 1, "", "HasMethodAttributes"], [48, 2, 1, "", "Identifier"], [48, 2, 1, "", "NormalizedIdentifier"], [48, 2, 1, "", "Parent"], [48, 3, 1, "", "__init__"], [48, 4, 1, "", "_documentation"], [48, 4, 1, "", "_identifier"], [48, 4, 1, "", "_normalizedIdentifier"], [48, 4, 1, "", "_parent"]], "pyVHDLModel.Subprogram.ProcedureMethod": [[48, 2, 1, "", "Documentation"], [48, 2, 1, "", "HasClassAttributes"], [48, 2, 1, "", "HasMethodAttributes"], [48, 2, 1, "", "Identifier"], [48, 2, 1, "", "NormalizedIdentifier"], [48, 2, 1, "", "Parent"], [48, 3, 1, "", "__init__"], [48, 4, 1, "", "_documentation"], [48, 4, 1, "", "_identifier"], [48, 4, 1, "", "_normalizedIdentifier"], [48, 4, 1, "", "_parent"]], "pyVHDLModel.Subprogram.Subprogram": [[48, 2, 1, "", "Documentation"], [48, 2, 1, "", "HasClassAttributes"], [48, 2, 1, "", "HasMethodAttributes"], [48, 2, 1, "", "Identifier"], [48, 2, 1, "", "NormalizedIdentifier"], [48, 2, 1, "", "Parent"], [48, 3, 1, "", "__init__"], [48, 4, 1, "", "_documentation"], [48, 4, 1, "", "_identifier"], [48, 4, 1, "", "_normalizedIdentifier"], [48, 4, 1, "", "_parent"]], "pyVHDLModel.Symbol": [[49, 1, 1, "", "AllPackageMembersReferenceSymbol"], [49, 1, 1, "", "ArchitectureSymbol"], [49, 1, 1, "", "ComponentInstantiationSymbol"], [49, 1, 1, "", "ConfigurationInstantiationSymbol"], [49, 1, 1, "", "ConstrainedArraySubtypeSymbol"], [49, 1, 1, "", "ConstrainedCompositeSubtypeSymbol"], [49, 1, 1, "", "ConstrainedRecordSubtypeSymbol"], [49, 1, 1, "", "ConstrainedScalarSubtypeSymbol"], [49, 1, 1, "", "ContextReferenceSymbol"], [49, 1, 1, "", "EntityInstantiationSymbol"], [49, 1, 1, "", "EntitySymbol"], [49, 1, 1, "", "IndexedObjectOrFunctionCallSymbol"], [49, 1, 1, "", "LibraryReferenceSymbol"], [49, 1, 1, "", "PackageMemberReferenceSymbol"], [49, 1, 1, "", "PackageReferenceSymbol"], [49, 1, 1, "", "PackageSymbol"], [49, 1, 1, "", "PossibleReference"], [49, 1, 1, "", "RecordElementSymbol"], [49, 1, 1, "", "SimpleObjectOrFunctionCallSymbol"], [49, 1, 1, "", "SimpleSubtypeSymbol"], [49, 1, 1, "", "SubtypeSymbol"], [49, 1, 1, "", "Symbol"]], "pyVHDLModel.Symbol.AllPackageMembersReferenceSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.ArchitectureSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.ComponentInstantiationSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.ConfigurationInstantiationSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.ConstrainedArraySubtypeSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.ConstrainedCompositeSubtypeSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.ConstrainedRecordSubtypeSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.ConstrainedScalarSubtypeSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.ContextReferenceSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.EntityInstantiationSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.EntitySymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.IndexedObjectOrFunctionCallSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.LibraryReferenceSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.PackageMemberReferenceSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.PackageReferenceSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.PackageSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.PossibleReference": [[49, 4, 1, "", "AccessType"], [49, 4, 1, "", "AnyType"], [49, 4, 1, "", "Architecture"], [49, 4, 1, "", "ArrayType"], [49, 4, 1, "", "Attribute"], [49, 4, 1, "", "Component"], [49, 4, 1, "", "Configuration"], [49, 4, 1, "", "Constant"], [49, 4, 1, "", "Context"], [49, 4, 1, "", "Entity"], [49, 4, 1, "", "EnumLiteral"], [49, 4, 1, "", "File"], [49, 4, 1, "", "FileType"], [49, 4, 1, "", "Function"], [49, 4, 1, "", "Label"], [49, 4, 1, "", "Library"], [49, 4, 1, "", "Package"], [49, 4, 1, "", "PackageMember"], [49, 4, 1, "", "Procedure"], [49, 4, 1, "", "ProtectedType"], [49, 4, 1, "", "RangeAttribute"], [49, 4, 1, "", "RecordElement"], [49, 4, 1, "", "RecordType"], [49, 4, 1, "", "ScalarType"], [49, 4, 1, "", "Signal"], [49, 4, 1, "", "SignalAttribute"], [49, 4, 1, "", "SimpleNameInExpression"], [49, 4, 1, "", "SubProgram"], [49, 4, 1, "", "Subtype"], [49, 4, 1, "", "Type"], [49, 4, 1, "", "TypeAttribute"], [49, 4, 1, "", "ValueAttribute"], [49, 4, 1, "", "Variable"], [49, 4, 1, "", "View"], [49, 4, 1, "", "ViewAttribute"], [49, 3, 1, "", "__bool__"], [49, 3, 1, "", "__contains__"], [49, 3, 1, "", "__iter__"], [49, 3, 1, "", "__len__"], [49, 3, 1, "", "__new__"], [49, 3, 1, "", "__or__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__ror__"], [49, 3, 1, "", "__str__"], [49, 3, 1, "", "_generate_next_value_"], [49, 3, 1, "", "_iter_member_"], [49, 3, 1, "", "_iter_member_by_def_"], [49, 3, 1, "", "_iter_member_by_value_"], [49, 3, 1, "", "_missing_"], [49, 3, 1, "", "_numeric_repr_"]], "pyVHDLModel.Symbol.RecordElementSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.SimpleObjectOrFunctionCallSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.SimpleSubtypeSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.SubtypeSymbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Symbol.Symbol": [[49, 2, 1, "", "HasClassAttributes"], [49, 2, 1, "", "HasMethodAttributes"], [49, 3, 1, "", "__init__"], [49, 3, 1, "", "__repr__"], [49, 3, 1, "", "__str__"], [49, 4, 1, "", "_name"], [49, 4, 1, "", "_possibleReferences"], [49, 4, 1, "", "_reference"]], "pyVHDLModel.Type": [[50, 1, 1, "", "AccessType"], [50, 1, 1, "", "AnonymousType"], [50, 1, 1, "", "ArrayType"], [50, 1, 1, "", "BaseType"], [50, 1, 1, "", "CompositeType"], [50, 1, 1, "", "DiscreteTypeMixin"], [50, 1, 1, "", "EnumeratedType"], [50, 1, 1, "", "FileType"], [50, 1, 1, "", "FullType"], [50, 1, 1, "", "IntegerType"], [50, 1, 1, "", "NumericTypeMixin"], [50, 1, 1, "", "PhysicalType"], [50, 1, 1, "", "ProtectedType"], [50, 1, 1, "", "ProtectedTypeBody"], [50, 1, 1, "", "RangedScalarType"], [50, 1, 1, "", "RealType"], [50, 1, 1, "", "RecordType"], [50, 1, 1, "", "RecordTypeElement"], [50, 1, 1, "", "ScalarType"], [50, 1, 1, "", "Subtype"], [50, 1, 1, "", "Type"]], "pyVHDLModel.Type.AccessType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.AnonymousType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.ArrayType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.BaseType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.CompositeType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.DiscreteTypeMixin": [[50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 3, 1, "", "__init__"]], "pyVHDLModel.Type.EnumeratedType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.FileType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.FullType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.IntegerType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.NumericTypeMixin": [[50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 3, 1, "", "__init__"]], "pyVHDLModel.Type.PhysicalType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.ProtectedType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.ProtectedTypeBody": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.RangedScalarType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.RealType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.RecordType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.RecordTypeElement": [[50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifiers"], [50, 2, 1, "", "NormalizedIdentifiers"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_identifiers"], [50, 4, 1, "", "_normalizedIdentifiers"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.ScalarType": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.Subtype": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 3, 1, "", "__str__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.Type.Type": [[50, 2, 1, "", "Documentation"], [50, 2, 1, "", "HasClassAttributes"], [50, 2, 1, "", "HasMethodAttributes"], [50, 2, 1, "", "Identifier"], [50, 2, 1, "", "NormalizedIdentifier"], [50, 2, 1, "", "Parent"], [50, 3, 1, "", "__init__"], [50, 4, 1, "", "_documentation"], [50, 4, 1, "", "_identifier"], [50, 4, 1, "", "_normalizedIdentifier"], [50, 4, 1, "", "_parent"]], "pyVHDLModel.VHDLVersion": [[28, 4, 1, "", "AMS2017"], [28, 4, 1, "", "AMS93"], [28, 4, 1, "", "AMS99"], [28, 4, 1, "", "Any"], [28, 2, 1, "", "IsAMS"], [28, 2, 1, "", "IsVHDL"], [28, 4, 1, "", "Latest"], [28, 3, 1, "", "Parse"], [28, 4, 1, "", "VHDL2000"], [28, 4, 1, "", "VHDL2002"], [28, 4, 1, "", "VHDL2008"], [28, 4, 1, "", "VHDL2019"], [28, 4, 1, "", "VHDL87"], [28, 4, 1, "", "VHDL93"], [28, 4, 1, "", "__VERSION_MAPPINGS__"], [28, 3, 1, "", "__eq__"], [28, 3, 1, "", "__ge__"], [28, 3, 1, "", "__gt__"], [28, 4, 1, "", "__hash__"], [28, 3, 1, "", "__init__"], [28, 3, 1, "", "__le__"], [28, 3, 1, "", "__lt__"], [28, 3, 1, "", "__ne__"], [28, 3, 1, "", "__repr__"], [28, 3, 1, "", "__str__"]]}, "objnames": {"0": ["py", "module", "Python module"], "1": ["py", "class", "Python class"], "2": ["py", "property", "Python property"], "3": ["py", "method", "Python method"], "4": ["py", "attribute", "Python attribute"], "5": ["py", "data", "Python data"], "6": ["py", "exception", "Python exception"]}, "objtypes": {"0": "py:module", "1": "py:class", "2": "py:property", "3": "py:method", "4": "py:attribute", "5": "py:data", "6": "py:exception"}, "terms": {"": [0, 7, 9, 11, 13, 18, 22, 24, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "0": [1, 6, 8, 9, 11, 14, 26, 27, 28, 30, 32, 33, 42, 52], "00": [28, 52], "001": 52, "002": 52, "003": 52, "004": 52, "005": 52, "006": 52, "007": 52, "013": 52, "02": 28, "031": 52, "08": 28, "1": [5, 6, 8, 9, 10, 14, 26, 28, 30, 33, 49], "10": [8, 10, 26, 33], "100": [8, 26], "10000": 28, "102": 26, "1024": 49, "1048576": 49, "1073741824": 49, "1075": 26, "1076": [10, 17], "108": 8, "11": [6, 7, 8, 33, 52], "112": 26, "114": 26, "115": 26, "12": [6, 8, 26, 33, 52], "125": 26, "128": [28, 49], "13": [8, 26, 33], "131072": 49, "134": 26, "134217728": 49, "137": 26, "14": [8, 26, 33, 52], "140": 8, "142": 26, "144": 26, "15": [8, 26, 27, 33], "152": 26, "153": 8, "158": 26, "16": [26, 28, 33, 49], "16384": 49, "16777216": 49, "168": 26, "17": [8, 28, 33], "170": 26, "178": 26, "18": [8, 26, 33], "19": [8, 28, 33], "1987": [10, 28], "1993": [10, 28], "1996": 7, "1999": 28, "1cc85c578": 9, "2": [5, 6, 8, 9, 14, 26, 27, 28, 30, 33, 44, 49], "20": [7, 8, 28, 33], "2000": [10, 28], "2002": [10, 28], "2004": [10, 24], "2008": [9, 10, 28], "2011": 10, "2016": 28, "2017": 28, "2019": [10, 28], "2024": 28, "2048": 49, "207": 26, "2097152": 49, "21": [8, 26], "211": 26, "216": 26, "22": 26, "220": 26, "224": 26, "226": 26, "23": [8, 26], "232": 26, "24": 8, "242": 26, "25": [8, 26], "256": [28, 49], "26": [8, 26], "262144": 49, "268435456": 49, "27": 26, "270": 26, "28": [11, 26], "29": 26, "3": [5, 6, 8, 9, 14, 26, 28, 30, 32, 33], "30": [7, 8, 26, 28], "31": 8, "315": 26, "32": [26, 28, 33, 49], "32768": 49, "328": 8, "33": [8, 26], "33554432": 49, "34": 26, "35": [8, 26], "36": [8, 26], "363": 26, "364904960": 49, "366": 26, "37": 26, "38": [8, 26], "3_0_0_dev": 9, "4": [5, 6, 8, 14, 26, 27, 28, 30, 33, 49], "402653184": 49, "4096": 49, "41": [8, 26], "415": 26, "4194304": 49, "42": [8, 26], "43": [8, 26, 28], "432074504": 49, "44": [6, 52], "45": [8, 26], "453": 26, "46": 26, "47": 26, "48": 26, "5": [6, 8, 14, 26, 28, 30, 33, 52], "50": [8, 24, 26], "512": 49, "52": [8, 26], "524288": 49, "536870912": 49, "54": [8, 26], "540": 26, "55": [8, 26], "56": [8, 52], "57": [8, 26], "58": 26, "6": [1, 6, 8, 14, 26, 27, 28, 33, 52], "60": 8, "61": 26, "61184": 49, "61691": 10, "62": 28, "63": [26, 28, 37, 44, 46], "639": 8, "64": [8, 9, 26, 28, 49], "65536": 49, "66": 8, "67": 8, "67108864": 49, "68": [8, 26], "7": [6, 8, 28, 33, 42], "70": 26, "72": 8, "73": [8, 26], "75": 26, "76": 26, "77": 26, "78": 26, "79": 8, "8": [6, 8, 26, 28, 33, 42, 49, 52], "80": [8, 26], "81": 26, "8192": 49, "82": [8, 26], "83": 26, "8388608": 49, "84": 26, "843": 26, "86": 26, "87": [26, 28], "870": 9, "89": [8, 26], "9": [6, 7, 8, 26, 33], "90": [8, 26], "91": 8, "92": 26, "93": [26, 28], "95": 26, "96": [7, 26], "967": 8, "99": 28, "A": [0, 7, 13, 14, 17, 18, 20, 24, 28, 29, 30, 31, 32, 34, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 50], "AND": 24, "AS": 24, "As": [9, 11, 28, 42], "At": [9, 28], "BY": [7, 27], "By": [7, 28], "FOR": 24, "For": [7, 9, 11, 24, 28, 32, 37, 40, 44, 46, 49], "If": [5, 7, 9, 18, 24, 28, 32, 34, 37, 42, 44, 45, 46, 49], "In": [7, 9, 14, 18, 24, 28, 30, 40], "It": [27, 28, 30, 33, 34], "No": 7, "Not": [6, 24], "OF": 24, "OR": 24, "Such": 18, "The": [0, 5, 6, 7, 9, 11, 13, 14, 17, 18, 22, 24, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 49, 50], "There": 9, "These": [6, 9, 14, 17], "To": [7, 9, 14, 24, 30], "With": 11, "_": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "__bool__": [28, 49], "__constantrefer": 42, "__contains__": [28, 49], "__defaultexpress": 42, "__eq__": 28, "__ge__": 28, "__gt__": 28, "__hash__": 28, "__init__": [28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "__iter__": [28, 49], "__le__": 28, "__len__": [28, 49], "__lt__": 28, "__methodswithattributes__": [28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "__ne__": 28, "__new__": [28, 49], "__objectvertex": 42, "__or__": [28, 49], "__pyattr__": [28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "__repr__": [28, 34, 37, 40, 44, 46, 49], "__ror__": [28, 49], "__str__": [28, 29, 30, 32, 34, 36, 37, 40, 42, 44, 46, 47, 49, 50], "__version_mappings__": 28, "_addarchitectur": 28, "_addconfigur": 28, "_addcontext": 28, "_adddesignunit": 28, "_addent": 28, "_addpackag": 28, "_addpackagebodi": 28, "_architectur": [28, 37, 44, 46], "_boundary_": [28, 49], "_compileordergraph": 28, "_compileordervertex": 28, "_condit": [30, 32, 47], "_configur": [28, 37, 44, 46], "_constant": [32, 34, 37, 44, 45, 46], "_context": [0, 28, 37, 44, 46], "_contextitem": [34, 37, 38, 43, 44, 46], "_contextrefer": [34, 37, 38, 43, 44, 46], "_declareditem": [32, 34, 37, 44, 45, 46], "_dependencygraph": [0, 28], "_dependencyvertex": [0, 28, 34, 37, 38, 43, 44, 46], "_designunit": 28, "_document": [28, 30, 32, 33, 34, 37, 38, 39, 42, 43, 44, 46, 48, 50], "_elsebranch": 47, "_elsifbranch": 47, "_entiti": [28, 37, 44, 46], "_file": [32, 34, 37, 44, 45, 46], "_function": [32, 34, 37, 44, 45, 46], "_generate_next_value_": [28, 49], "_hierarchygraph": 28, "_hierarchyvertex": [28, 34, 37, 38, 43, 44, 46], "_identifi": [28, 30, 33, 34, 35, 37, 38, 39, 42, 43, 44, 46, 48, 50], "_ifbranch": 47, "_indexotherdeclareditem": [32, 34, 37, 44, 45, 46], "_iter_member_": [28, 49], "_iter_member_by_def_": [28, 49], "_iter_member_by_value_": [28, 49], "_label": [30, 31, 32, 47], "_librari": [0, 28], "_libraryrefer": [34, 37, 38, 43, 44, 46], "_missing_": [28, 49], "_name": [28, 49], "_namespac": [32, 34, 37, 44, 45, 46], "_normalizedidentifi": [0, 28, 30, 33, 34, 37, 38, 39, 42, 43, 44, 46, 48, 50], "_normalizedlabel": [30, 31, 32, 47], "_numeric_repr_": [28, 49], "_objectgraph": 28, "_objectvertex": [39, 42], "_packag": [28, 37, 44, 46], "_packagebodi": [28, 37, 44, 46], "_packagerefer": [34, 37, 38, 43, 44, 46], "_parent": [28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "_path": 28, "_possiblerefer": 49, "_procedur": [32, 34, 37, 44, 45, 46], "_refer": [34, 49], "_referencedcontext": [28, 34, 37, 38, 43, 44, 46], "_referencedlibrari": [28, 34, 37, 38, 43, 44, 46], "_referencedpackag": [28, 34, 37, 38, 43, 44, 46], "_sharedvari": [32, 34, 37, 44, 45, 46], "_signal": [32, 34, 37, 44, 45, 46], "_statement": [32, 47], "_subtyp": [32, 34, 37, 42, 44, 45, 46], "_symbol": 34, "_toplevel": 28, "_type": [32, 34, 37, 44, 45, 46], "_verificationmod": 28, "_verificationproperti": 28, "_verificationunit": 28, "_waveform": 47, "abl": 27, "abov": [7, 9, 11, 24], "absenc": 7, "absolut": 7, "absoluteexpress": 36, "abstract": [27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 43, 45, 47, 48, 49, 50], "accept": [7, 42], "access": [7, 9, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "accesstyp": [49, 50], "accompani": 27, "accord": [7, 27], "accuraci": 7, "act": 24, "action": 27, "actual": [9, 29], "ad": [28, 32, 34, 36, 37, 44, 45, 46], "ada": 9, "adapt": 7, "add": [5, 9, 24, 27, 28, 32, 34, 37, 44, 45, 46], "adddocu": [9, 17, 28], "addendum": 24, "addingexpress": 36, "addit": [6, 7, 9, 14, 18, 27, 28], "additionexpress": 36, "addlibrari": 28, "adopt": 7, "advic": 7, "advis": [7, 24], "affect": 7, "after": [9, 30], "again": 40, "against": [24, 28], "aggreg": 36, "aggregateel": 36, "agre": [7, 24], "agreement": [7, 24], "ahead": 13, "aka": 10, "algorithm": [25, 28, 32, 34, 37, 44, 45, 46], "alia": [30, 33], "alias": [28, 49], "align": 9, "all": [5, 6, 7, 9, 11, 24, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "alleg": 24, "allnam": [40, 49], "alloc": 36, "allow": 7, "allpackagemembersreferencesymbol": 49, "almost": [8, 26], "alon": 24, "along": 24, "alongsid": 24, "alreadi": [28, 35, 37, 40, 44, 46], "also": [7, 11, 13, 18, 22, 24, 28], "alter": 7, "alternativelabel": 32, "although": 7, "alwai": [7, 28, 42, 49], "am": 28, "amend": 7, "ams2017": 28, "ams93": 28, "ams99": 28, "an": [0, 1, 5, 7, 9, 11, 13, 14, 18, 24, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "analys": 28, "analysi": 9, "analyz": [5, 9, 27, 28, 34, 37, 38, 43, 44, 46, 52], "analyzedepend": 28, "analyzeobject": 28, "andexpress": 36, "ani": [6, 7, 9, 11, 24, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "annot": 24, "anonymoustyp": 50, "anytyp": 49, "anywher": 7, "apach": [6, 27, 28], "api": [9, 27], "appear": 24, "appendix": 24, "appli": [7, 9, 24], "applic": [7, 24], "appropri": 24, "approxim": 7, "ar": [0, 5, 6, 7, 9, 11, 13, 14, 16, 17, 18, 24, 28, 29, 30, 31, 34, 35, 37, 39, 40, 42, 46, 48, 49], "architectur": [5, 13, 17, 27, 28, 32, 33, 34, 35, 37, 40, 44, 46, 49], "architectureexistsinlibraryerror": [28, 35], "architecturesymbol": [32, 49], "archiv": 24, "aris": [7, 24], "arrang": 7, "arraytyp": [22, 49, 50], "articl": 7, "artist": 7, "ascend": [14, 30], "ascendingrangeexpress": 36, "ask": 7, "aspect": 29, "assembl": 13, "assert": [7, 24, 30, 52], "assertstatementmixin": [30, 32, 47], "assign": [0, 18, 28, 31, 32, 37, 44, 46, 49], "assignmentmixin": [31, 32, 47], "associ": [8, 24, 26, 27, 28, 30, 32, 33, 34, 37, 38, 39, 40, 42, 43, 44, 46, 48, 50], "associationitem": [29, 32], "assum": [9, 24], "attach": 24, "attr": 0, "attribut": [0, 24, 27, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "attributenam": 40, "attributespecif": 33, "author": [7, 24], "authorship": [7, 24], "autoapi": 6, "automat": 7, "avail": [7, 9, 13, 24, 30], "avoid": 7, "b": 7, "backend": [9, 27], "base": [7, 8, 9, 22, 24, 26, 28, 29, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 49, 50], "basebranch": 30, "basecas": [30, 32, 47], "basechoic": [30, 32, 47], "basecondit": 19, "baseconst": [18, 39, 42], "baseelsebranch": 30, "baseelsifbranch": 30, "baseexpress": [12, 16, 18, 19, 22, 29, 30, 31, 32, 33, 36, 39, 40, 42, 47], "baseifbranch": 30, "basetyp": [33, 39, 50], "basi": [7, 24], "basic": 11, "becaus": [7, 17], "been": [7, 24], "befor": [5, 7], "begin": [32, 34, 49], "behalf": 24, "below": [7, 24], "benefici": 24, "benefit": 7, "besid": [5, 35], "best": 9, "between": [5, 9, 13, 27, 28], "bi": 30, "bidirect": 28, "bidirection": 28, "big": 27, "bin": 9, "binari": [9, 36], "binaryexpress": 36, "bind": [9, 24, 27], "bit": [9, 42, 46], "bit_vector": 46, "bitstringliter": 36, "block": 32, "blockstat": 12, "blockstatementmixin": 32, "bodi": [5, 17, 27, 28, 34, 35, 37, 44, 46, 49], "bodyitem": [13, 20], "boilerpl": 24, "bool": [20, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "boolean": [14, 46], "boolean_vector": 46, "both": 28, "bound": 7, "boundari": [28, 30, 33, 49], "bracket": 24, "branch": [26, 30, 32, 47], "branchmixin": [30, 32, 47], "bring": 9, "broadcast": 7, "broken": 9, "bsd": 6, "buffer": [14, 30], "bug": 11, "build": [6, 9, 11, 27, 28], "builtin": [28, 49], "bustyp": 33, "b\u00f6tzingen": 28, "c": [9, 27], "cach": 28, "calcul": [9, 18], "call": [13, 18, 22, 28, 32, 34, 37, 44, 45, 46], "can": [5, 7, 9, 11, 18, 27, 28, 30, 37, 42, 44, 46], "cannot": [7, 24], "canon": [28, 49], "care": 9, "carri": 24, "case": [7, 14, 28, 30, 31, 32, 33, 34, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "casegeneratestat": [12, 19, 32], "casestat": [19, 47], "categor": 7, "caus": 24, "cc": [7, 27], "cd": [9, 11], "certain": 7, "cfg": 34, "chain": 40, "chang": [7, 24, 27], "chapter": [17, 27], "charact": [24, 46], "characterliter": 36, "charg": 24, "check": [27, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "child": 17, "choic": [30, 32, 47], "choice1": 32, "choice2": 32, "choos": [7, 24], "chosen": 7, "circumv": 7, "claim": 24, "class": [9, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "classmethod": [28, 49], "claus": [6, 28, 34, 35, 37, 38, 43, 44, 46], "clearanc": 27, "clearli": 7, "client": 7, "clock": 32, "clone": 9, "close": 7, "code": [6, 9, 11, 24, 27, 28], "codedom": [9, 28], "collect": [6, 7, 27], "collid": 28, "com": 9, "combin": [24, 40], "command": 9, "comment": [24, 27], "commerci": 24, "commit": 9, "common": [8, 9, 24, 26, 27, 28, 32, 47], "commun": [7, 24], "compar": [9, 28], "compil": [9, 17, 24, 28], "compileordergraph": [9, 28], "compileordervertex": 28, "complet": 42, "compli": [7, 24], "complianc": 24, "compon": [28, 32, 33, 34, 49], "componentinstanti": 32, "componentinstantiationsymbol": [32, 49], "componentsymbol": 32, "composit": [28, 49, 50], "compositetyp": [22, 50], "compound": 47, "compoundstat": [19, 47], "compris": [34, 37, 38, 43, 44, 46], "compulsori": 7, "comput": [9, 24, 27, 28], "computecompileord": [25, 28], "concatenationexpress": 36, "concept": 23, "concern": 7, "concret": [27, 28], "concurr": [8, 18, 23, 25, 26, 28, 34, 37, 44, 45, 46], "concurrentassertstat": [12, 32], "concurrentblockstat": [12, 32], "concurrentcas": 32, "concurrentchoic": 32, "concurrentconditionalsignalassign": 32, "concurrentdeclar": 12, "concurrentdeclarationregionmixin": [32, 34, 37, 44, 45, 46], "concurrentprocedurecal": 32, "concurrentselectedsignalassign": 32, "concurrentsignalassign": [12, 32], "concurrentsimplesignalassign": 32, "concurrentstat": [12, 13, 32, 34], "concurrentstatementsmixin": [32, 34], "condens": [12, 13, 14, 16, 17, 18, 19, 20, 22, 27], "condit": [12, 19, 24, 30, 32, 47], "conditionalbranchmixin": [30, 32, 47], "conditionalmixin": [30, 32, 47], "configur": [5, 9, 17, 24, 27, 28, 32, 33, 34, 35, 37, 44, 46, 49], "configurationexistsinlibraryerror": [28, 35], "configurationinstanti": 32, "configurationinstantiationsymbol": [32, 49], "configurationsymbol": 32, "connect": [5, 7], "consent": 7, "consequenti": [7, 24], "consid": 7, "consider": 7, "consist": 24, "consol": 9, "conspicu": 24, "constant": [12, 14, 16, 19, 28, 32, 33, 34, 37, 39, 42, 44, 45, 46, 49], "constantrefer": 18, "constitut": [7, 24], "constrain": 21, "constrainedarraysubtypesymbol": 49, "constrainedcompositesubtypesymbol": 49, "constrainedrecordsubtypesymbol": 49, "constrainedscalarsubtypesymbol": 49, "constru": [7, 24], "construct": [9, 27, 32], "consum": [13, 17, 27, 28], "contact": 7, "contain": [13, 14, 17, 24, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "content": [7, 9, 11, 24], "context": [5, 7, 14, 17, 27, 28, 30, 34, 35, 37, 38, 43, 44, 46, 49], "contextexistsinlibraryerror": [28, 35], "contextidentifi": 0, "contextitem": [34, 37, 38, 43, 44, 46], "contextrefer": [13, 34, 37, 38, 43, 44, 46], "contextreferencesymbol": 49, "contextunion": [34, 37, 38, 43, 44, 46], "contract": [7, 24], "contribut": 7, "contributor": 24, "contributori": 24, "control": [24, 47], "convers": 24, "copi": [5, 7, 9, 24, 28], "copyright": 7, "core": 9, "corpor": 7, "corral": 27, "correct": 27, "correspond": [5, 7, 28, 34, 37, 38, 39, 42, 43, 44, 46], "cost": 7, "could": 7, "council": 7, "count": [28, 49], "counter": [9, 32, 42, 49], "counterclaim": 24, "cov": 6, "cover": [8, 17, 26], "coverag": 27, "cpython": [9, 27], "creat": [7, 9, 11, 27, 28, 49], "createcompileordergraph": 28, "createdependencygraph": [28, 34, 37, 38, 43, 44, 46], "createhierarchygraph": [25, 28], "createtypeandobjectgraph": 28, "creativ": 27, "creativecommon": 7, "creator": 7, "cross": [5, 24, 27, 40], "ctx": 34, "ctxname": 34, "ctype": 27, "cure": 7, "current": [9, 40], "customari": 24, "cycl": 9, "dai": 7, "damag": [7, 24], "data": [9, 14, 18, 27, 28, 30], "date": [7, 24], "deal": 7, "decemb": 7, "declar": [5, 8, 13, 16, 23, 25, 28, 30, 32, 34, 36, 37, 39, 40, 42, 44, 45, 46, 47, 49], "declareditem": [12, 13, 20, 32, 34, 45, 47, 50], "dedic": 5, "deem": 7, "def": [12, 13, 16, 17, 18, 19, 20, 22], "default": [14, 18, 28, 30, 35, 42], "defaultclock": 43, "defaultexpress": [16, 18, 39, 42], "defect": 7, "defend": 24, "defer": 42, "deferredconst": [18, 42], "defin": [5, 7, 13, 14, 18, 23, 24, 28, 30, 32, 37, 42, 44, 46], "definit": [12, 13, 14, 16, 17, 18, 19, 20, 22, 28, 31, 49], "delai": 18, "deliber": 24, "denot": 28, "depend": [9, 11, 28, 30, 34, 37, 38, 43, 44, 46, 49], "dependabot": 27, "dependencygraph": [9, 28], "dependencygraphedgekind": 28, "dependencygraphvertexkind": [0, 28], "dependencyvertex": [0, 28, 34, 37, 38, 43, 44, 46], "deriv": [5, 7, 9, 24, 27, 28, 30, 32, 34, 37, 44, 45, 46], "descend": [14, 30], "descendingrangeexpress": 36, "describ": [5, 7, 14, 24, 25, 28], "descript": 24, "design": [0, 5, 7, 9, 23, 24, 25, 28, 32, 34, 35, 37, 38, 43, 44, 45, 46], "designatedsubtyp": 50, "designunit": [0, 8, 26, 28, 37, 38, 43, 44, 46], "designunitkind": [28, 37, 44, 46], "designunitwithcontextmixin": [34, 37, 44, 46], "destin": 5, "detail": [10, 11, 18, 20, 27], "detect": [11, 27], "determin": [14, 24], "dev": 9, "develop": [6, 9, 11], "diagram": 27, "dict": [17, 28, 32, 34, 37, 38, 43, 44, 45, 46], "dictionari": [5, 28, 32, 34, 37, 44, 45, 46], "differ": [7, 24, 28], "diffutil": 9, "dimens": 22, "direct": [5, 7, 24, 30, 40, 49], "directli": [7, 17], "directori": 9, "discover": 7, "discoveri": 7, "discrep": 9, "discret": 50, "discretetyp": [22, 50], "discretetypemixin": 50, "discuss": 24, "disk": 9, "displai": [7, 24], "dissemin": 7, "dist": [6, 11], "distinguish": 13, "distribut": [7, 24], "divisionexpress": 36, "dll": 9, "do": [7, 24], "doc": [6, 11, 27], "docdat": 27, "docstr": 8, "document": [5, 7, 9, 11, 12, 13, 15, 16, 18, 19, 20, 21, 22, 24, 25, 26, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "documentedentitymixin": [28, 30, 32, 33, 34, 37, 38, 39, 42, 43, 44, 46, 48, 50], "doe": [5, 7, 24, 28], "doesn": [9, 28, 35, 37, 44, 46], "dom": [0, 9, 27], "don": 24, "dot": 40, "doubl": 0, "doubt": 7, "downstream": 7, "downto": [14, 30, 42], "dresden": 28, "dummi": 9, "e": [0, 6, 9, 13, 14, 18, 28, 49], "each": [0, 9, 17, 24, 28, 30, 35, 37, 42, 44, 46], "easier": 24, "ec": 7, "ed": 10, "eda": 9, "edg": [5, 28, 37, 44, 46], "editori": 24, "effect": 7, "either": [5, 9, 24], "elabor": [24, 27, 28], "elect": 7, "electron": 24, "element": [9, 17, 22, 23, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "elementsubtyp": 50, "elementtyp": 22, "els": [30, 32, 47], "elsebranch": [12, 32, 47], "elsebranchmixin": [30, 32, 47], "elsegeneratebranch": [12, 32], "elsif": [30, 32, 47], "elsifbranch": [12, 32, 47], "elsifbranchmixin": [30, 32, 47], "elsifgeneratebranch": [12, 32], "embed": 28, "empti": [27, 28], "enclos": 24, "encourag": 7, "end": [32, 34, 49], "endlessloopstat": [19, 47], "endors": 7, "enforc": 7, "english": 35, "ensur": [11, 28], "ent": 34, "entit": [28, 37, 44, 46], "entiti": [0, 5, 7, 14, 17, 18, 24, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 49, 50], "entityclass": 33, "entityexistsinlibraryerror": [28, 35], "entityinstanti": 32, "entityinstantiationsymbol": [32, 49], "entitysymbol": [32, 34, 49], "entri": [25, 28, 32, 34, 37, 44, 45, 46], "enum": [14, 28, 30, 33, 49], "enumer": [0, 23, 28, 30, 33, 37, 44, 46, 49], "enumeratedtyp": [22, 50], "enumerationliter": [36, 50], "enumliter": 49, "env": 46, "env_bodi": 46, "environ": 9, "equal": 28, "equalexpress": 36, "equival": [6, 7], "error": [7, 35, 52], "essenti": 7, "european": 7, "eval": [28, 49], "evalu": 6, "even": [7, 24], "event": [7, 24], "everi": [0, 7, 32, 34, 37, 44, 45, 46], "exact": 9, "exampl": [7, 9, 24, 40], "excel": [8, 26], "except": [7, 8, 24, 26, 28, 30, 33, 38, 39, 40, 43, 48, 50], "exchang": [14, 30], "exclud": [24, 26], "exclus": [7, 24], "execut": [9, 18, 24], "exemplari": 7, "exercis": [7, 24], "exhaust": 7, "exist": [5, 28, 35, 37, 44, 46, 49], "exitstat": [19, 47], "expect": 7, "expens": 7, "experiment": 9, "explain": 9, "explicit": [34, 37, 38, 43, 44, 46], "explicitli": [17, 24], "exponentiationexpress": 36, "export": [12, 13, 14, 16, 17, 18, 19, 20, 22], "expos": 9, "express": [7, 8, 14, 18, 23, 24, 26, 27, 28, 30, 31, 32, 33, 40, 42, 47, 49], "expressionunion": 30, "expressli": 7, "extens": [6, 27], "extent": 7, "extract": [7, 28, 49], "f": [0, 35], "fail": [7, 52], "failur": [7, 24], "fair": 7, "faster": 9, "featur": [17, 27, 28], "fee": 24, "field": [0, 17, 24, 28, 34, 37, 38, 39, 42, 43, 44, 46], "fifti": 24, "file": [5, 6, 8, 9, 11, 14, 17, 24, 25, 26, 27, 28, 32, 33, 34, 37, 39, 42, 44, 45, 46, 49], "filelist": 9, "filenam": [8, 28], "filetyp": [49, 50], "filter": [28, 37, 44, 46, 49], "final": [9, 27], "find": 27, "firm": 7, "first": 28, "fit": [7, 24], "fix": [11, 27, 37], "fixed_float_typ": 37, "fixed_generic_pkg": 37, "fixed_generic_pkg_bodi": 37, "fixed_pkg": 37, "flag": [28, 37, 44, 46, 49], "float": [36, 37], "float_generic_pkg": 37, "float_generic_pkg_bodi": 37, "float_pkg": 37, "floatingpointliter": 36, "follow": [0, 7, 9, 11, 22, 24, 28, 37, 46], "forbid": 7, "foreign": 35, "forgeneratestat": [12, 32], "forloopstat": [19, 47], "form": [7, 24, 36], "formal": 29, "format": [7, 24, 28, 30, 37, 44, 46], "forward": 42, "forwardref": 30, "found": [28, 37, 44, 46], "free": [7, 9, 24], "from": [0, 1, 5, 7, 12, 13, 14, 16, 17, 18, 19, 20, 22, 24, 28, 30, 37, 40, 44, 46, 49], "frontend": [9, 27, 28], "fulfil": 7, "full": 7, "fullest": 7, "fulltyp": [32, 34, 37, 44, 45, 46, 50], "function": [28, 32, 33, 34, 37, 38, 39, 44, 45, 46, 48, 49], "functioncal": [29, 30, 31, 32, 33, 36, 39, 40, 42, 47], "functioninstanti": 38, "functionmethod": [20, 48], "further": [5, 6, 10, 11], "furthermor": 34, "g": [0, 6, 9, 13, 14, 18], "g1cc85c578": 9, "gcc": 9, "gen": 32, "gener": [6, 8, 9, 11, 13, 24, 26, 27, 28, 29, 30, 32, 34, 37, 39, 44, 46, 49, 51, 52], "generatebranch": 32, "generatecas": [12, 32], "generatestat": [12, 32], "genericassoci": 32, "genericassociationitem": 29, "genericconstantinterfaceitem": [18, 39], "genericentityinstantiationmixin": 38, "genericfunctioninterfaceitem": [20, 39], "genericinstantiationmixin": 38, "genericinterfaceitem": [13, 16, 20, 39], "genericinterfaceitemmixin": [34, 39], "genericitem": [13, 20, 34], "genericpackageinterfaceitem": 39, "genericprocedureinterfaceitem": [20, 39], "genericsubprograminterfaceitem": [16, 39], "generictypeinterfaceitem": 39, "germani": 28, "get": [25, 34], "getlibrari": [9, 17, 28], "ghdl": 27, "ghdl_prefix": 9, "git": 9, "github": [9, 27], "give": [7, 24], "given": [28, 30, 33, 37, 44, 46, 49], "goodwil": 24, "got": [9, 34], "govern": 24, "gpl": 6, "grant": 7, "graph": [9, 27, 28, 34, 37, 38, 42, 43, 44, 46], "greater": 28, "greaterequalexpress": 36, "greaterthanexpress": 36, "grossli": 24, "group": [28, 33, 37, 44, 46], "ha": [0, 5, 7, 9, 17, 18, 21, 22, 24, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "handi": 11, "harmless": 24, "hasclassattribut": [28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "hash": 9, "hasmethodattribut": [28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "hasprefix": 40, "have": [7, 11, 14, 18, 24, 28, 30, 42], "held": 7, "helper": 41, "here": [7, 40], "hereaft": 7, "herebi": [7, 24], "herein": [7, 24], "hh": 52, "hierarchi": [12, 13, 15, 16, 19, 21, 22, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "hierarchygraph": [9, 28], "hierarchyvertex": [28, 34, 37, 38, 43, 44, 46], "high": 27, "higher": [27, 28], "hold": 24, "holder": 7, "holist": 17, "how": [7, 9, 11, 24, 25], "howev": [7, 24], "http": [9, 24], "hyperlink": 7, "i": [0, 7, 9, 11, 13, 14, 17, 18, 20, 24, 27, 28, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "i686": 9, "id": [0, 28], "identif": [7, 24], "identifi": [0, 24, 28, 30, 33, 34, 37, 38, 39, 40, 42, 43, 44, 46, 48, 50], "identityexpress": 36, "iec": 10, "ieee": [0, 8, 9, 10, 17, 26, 28, 34, 44, 46, 49], "ieee_std_context": [34, 49], "ifbranch": [12, 32, 47], "ifbranchmixin": [30, 32, 47], "ifgeneratebranch": [12, 32], "ifgeneratestat": [12, 32], "ifstat": 47, "ii": 24, "iii": 24, "iir": 9, "iirnod": 9, "imag": 7, "immun": 7, "immut": 18, "implement": [5, 9, 17, 25, 27, 28, 41, 42], "impli": [7, 24], "implicit": [34, 37, 38, 43, 44, 46], "implicitli": 28, "import": [7, 9, 24, 28], "importobject": 28, "impos": 7, "improv": [24, 27], "inabl": 24, "inbound": 5, "incident": [7, 24], "incl": 49, "includ": [7, 9, 24, 27, 28, 49], "inclus": 24, "incorpor": 24, "increas": [28, 49], "incur": 24, "indemn": 24, "indemnifi": 24, "independ": [1, 7, 9, 27], "index": [11, 28, 32, 34, 36, 37, 44, 45, 46], "indexarchitectur": [28, 37, 44, 46], "indexdeclareditem": [28, 32, 34, 37, 44, 45, 46], "indexedaggregateel": 36, "indexedchoic": 47, "indexedgeneratechoic": 32, "indexednam": 40, "indexedobjectorfunctioncallsymbol": 49, "indexent": [28, 37, 44, 46], "indexpackag": [28, 32, 34, 37, 44, 45, 46], "indexpackagebodi": [28, 37, 44, 46], "indic": [7, 24, 40, 50], "indirect": [7, 24], "individu": [7, 24, 34], "infer": [28, 30], "inform": [7, 24], "infring": [7, 24], "inherit": [12, 13, 16, 17, 18, 19, 20, 22, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "initi": [18, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 49, 50], "inout": [14, 30], "input": 30, "inst": [32, 49], "instal": 6, "installiert": 9, "instanc": [7, 9, 30], "instanti": [5, 8, 26, 27, 28, 32, 40, 49, 52], "institut": 24, "instruct": [9, 11], "int": [22, 28, 36], "integ": [28, 46], "integer_vector": 46, "integerliter": 36, "integertyp": [22, 50], "integr": 7, "intend": 7, "intention": 24, "interfac": [5, 8, 23, 24, 26, 27, 28], "interfaceitem": [16, 39], "interfaceitemmixin": 39, "interfaceitemwithmod": 39, "interfaceitemwithmodemixin": 39, "intern": [9, 49], "inverseexpress": 36, "investig": 17, "io": 6, "irrevoc": [7, 24], "isam": 28, "ispur": [20, 38, 48], "issu": 24, "isvhdl": 28, "item": [0, 13, 23, 27, 28, 29, 32, 34, 37, 38, 39, 43, 44, 45, 46, 49], "iter": [5, 28, 30, 31, 32, 33, 34, 36, 37, 39, 40, 42, 43, 44, 45, 46, 47, 50], "iteratedesignunit": [28, 37, 44, 46], "iteratedocumentsincompileord": 28, "iteratetopolog": 28, "its": [7, 24, 27, 28, 30, 34, 37, 38, 39, 42, 43, 44, 46], "itself": [9, 14, 40], "januari": 24, "jurisdict": 7, "just": [9, 11], "kei": 28, "kind": [0, 7, 14, 24, 28, 34], "known": 7, "label": [7, 12, 19, 30, 31, 32, 33, 47, 49], "labeledent": [12, 19], "labeledentitymixin": [30, 31, 32, 47], "langaug": 49, "languag": [0, 9, 10, 14, 17, 24, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 43, 45, 47, 48, 49, 50], "last": [28, 49], "last_valu": [28, 49], "lastli": 0, "latent": 7, "later": 28, "latest": [9, 28], "law": [7, 24], "lawfulli": 7, "lawsuit": 24, "lawyer": 7, "layer": 9, "least": [24, 28, 49], "left": 40, "leftbound": [22, 30], "leftoperand": 36, "legal": [7, 24], "legend": [8, 26], "lehmann": [27, 28], "less": 28, "lessequalexpress": 36, "lessthanexpress": 36, "level": [8, 26, 27, 28], "liabl": [7, 24], "lib": 9, "libghdl": [25, 27], "libnam": [9, 34], "librari": [5, 6, 9, 13, 27, 28, 32, 34, 35, 37, 38, 40, 43, 44, 45, 46, 49], "libraryclaus": [13, 34, 37, 38, 43, 44, 46], "libraryexistsindesignerror": [28, 35], "libraryidentifi": 0, "librarynam": [17, 28], "librarynotregisterederror": [28, 35], "libraryrefer": [13, 34, 37, 38, 43, 44, 46], "libraryreferencesymbol": [34, 49], "libraryregisteredtoforeigndesignerror": [28, 35], "librarysymbol": 35, "libstopwatch": 9, "licens": [6, 28], "licensor": [7, 24], "like": [5, 9, 14, 17, 40], "link": [9, 24, 28, 37, 44, 46], "linkag": [14, 30], "linkarchitectur": [28, 37, 44, 46], "linkcompon": 28, "linkcontext": [28, 34], "linkcontextrefer": 28, "linkinstanti": 28, "linklibraryrefer": 28, "linkpackagebodi": [28, 37, 44, 46], "linkpackagerefer": 28, "linux": [11, 25], "list": [5, 6, 9, 12, 13, 17, 19, 20, 22, 24, 28, 30, 32, 34, 37, 38, 39, 42, 43, 44, 45, 46, 47, 50], "liter": [23, 27, 29, 30, 31, 32, 33, 36, 39, 40, 42, 47, 50], "literari": 7, "litig": 24, "llvm": 9, "load": [9, 27, 28], "loaddefaultlibrari": 9, "loadieeelibrari": 28, "loadstdlibrari": 28, "local": [7, 24], "locat": [28, 40], "logic": [27, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "logicalexpress": 36, "logo": 7, "look": 9, "lookup": 5, "loop": [9, 47], "loopcontrolstat": [19, 47], "loopindex": [12, 19, 32, 47], "looplabel": 47, "looprefer": 19, "loopstat": [19, 47], "loss": [7, 24], "lower": [28, 30, 31, 32, 33, 34, 37, 38, 39, 42, 43, 44, 46, 47, 48, 50], "lrm": [10, 17, 27, 34], "lxml": 6, "m": 11, "mac": 25, "machin": 11, "maco": 11, "made": [7, 13, 17, 24, 27, 40], "mai": [7, 17, 24, 32, 34, 37, 44, 45, 46], "mail": 24, "maintain": [6, 27], "make": [7, 9, 24], "malfunct": 24, "manag": [11, 24], "mandatori": 6, "mani": [27, 28, 31, 49], "manner": 7, "manual": [6, 10], "map": [28, 29], "march": 7, "mark": [7, 24], "martinez": 27, "match": [9, 27, 28, 37, 44, 46], "matchingequalexpress": 36, "matchinggreaterequalexpress": 36, "matchinggreaterthanexpress": 36, "matchinglessequalexpress": 36, "matchinglessthanexpress": 36, "matchingrelationalexpress": 36, "matchingunequalexpress": 36, "materi": 7, "math": 37, "math_complex": 37, "math_complex_bodi": 37, "math_real": 37, "math_real_bodi": 37, "mcode": 9, "mean": [7, 24], "measur": 7, "mechan": 24, "media": [7, 24], "medium": [7, 24], "meet": 24, "member": [7, 22, 28, 49], "membership": 28, "merchant": [7, 24], "mere": 24, "merg": 27, "mermaid": 6, "messag": [12, 19, 30, 32, 35, 47], "method": [9, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "methodmixin": 48, "might": [9, 11, 28, 42], "mingw": 9, "mingw32": 9, "mingw64": 9, "minimum": 7, "miss": [8, 25, 26, 27, 28], "mit": 6, "mixin": [30, 31, 32, 33, 34, 38, 39, 42, 43, 44, 48, 50], "mixinassertstat": [12, 19, 30], "mixindesignunitwithcontext": 13, "mixinreportstat": [12, 19, 30], "mkdir": 9, "mm": 52, "mod": 5, "mode": [16, 18, 28, 30, 39], "model": [5, 9, 14, 17, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "modelent": [12, 13, 16, 17, 18, 19, 20, 22, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "modif": [7, 24], "modifi": [7, 24], "modul": [26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "moduloexpress": 36, "moral": 7, "more": [7, 11, 24, 27, 28], "most": [7, 9, 18, 28, 40, 49], "move": 7, "msys64": 9, "multipl": [5, 9, 17, 27, 28, 30, 33, 35, 37, 38, 39, 40, 42, 43, 44, 46, 48, 50], "multiplenamedentitymixin": [30, 39, 42, 50], "multipli": 36, "multiplyexpress": 36, "multiplyingexpress": 36, "music": 7, "must": [7, 9, 18, 24], "mutabl": 18, "my": 28, "my_design": 28, "my_librari": [28, 37, 44, 46], "mypi": [6, 51], "name": [0, 8, 9, 13, 16, 18, 20, 22, 24, 26, 27, 28, 30, 32, 33, 34, 35, 36, 37, 38, 39, 42, 43, 44, 46, 48, 49, 50, 52], "namedaggregateel": 36, "namedent": [13, 16, 18, 20, 22], "namedentitymixin": [28, 30, 33, 34, 37, 38, 39, 43, 44, 46, 48, 50], "namespac": [8, 26, 28, 37, 44, 46], "nandexpress": 36, "natur": [33, 42, 46], "necessari": [7, 9], "necessarili": 24, "need": [5, 6, 7, 9, 11], "negationexpress": 36, "neglig": [7, 24], "neither": [32, 34, 37, 44, 45, 46], "nest": 28, "network": 27, "never": 7, "new": [9, 11, 28], "next": [9, 18, 28, 49], "nextstat": [19, 47], "nightli": 9, "node": 17, "non": [7, 24, 28, 49], "none": [6, 11, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "nonstandard": 9, "nor": 7, "norexpress": 36, "normal": [0, 24, 28, 30, 31, 32, 33, 34, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "normalizedidentifi": [28, 30, 33, 34, 37, 38, 39, 40, 42, 43, 44, 46, 48, 50], "normalizedlabel": [30, 31, 32, 47], "note": 9, "noth": [7, 24], "notic": [7, 24], "notwithstand": [7, 24], "now": [7, 9, 27], "nowadai": 9, "nullabl": [32, 34, 37, 38, 39, 42, 43, 44, 46, 47, 48, 50], "nullliter": 36, "nullstat": 47, "number": [11, 28, 49], "numer": [36, 37, 50], "numeric_bit": 37, "numeric_bit_bodi": 37, "numeric_bit_unsign": 37, "numeric_bit_unsigned_bodi": 37, "numeric_std": [34, 37, 49], "numeric_std_bodi": 37, "numeric_std_unsign": 37, "numeric_std_unsigned_bodi": 37, "numericliter": 36, "numerictyp": [22, 50], "numerictypemixin": 50, "o": 9, "obj": [28, 39, 42, 49], "object": [0, 5, 8, 9, 12, 13, 16, 17, 19, 23, 24, 25, 26, 27, 28, 30, 35, 39, 49], "objectclass": 28, "objectgraph": 28, "objectgraphedgekind": 28, "objectgraphvertexkind": 28, "objectvertex": [39, 42], "oblig": [7, 24], "obtain": 24, "offer": [7, 9, 24, 27], "offici": 7, "often": 18, "ok": 28, "old": 11, "omit": 14, "onc": [9, 30], "one": [7, 24, 28, 35], "onli": [5, 7, 24, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "open": [9, 40], "open_file_kind": 46, "open_file_statu": 46, "opennam": 40, "oper": [28, 36], "operand": [28, 36], "option": [9, 28, 30, 31, 32, 33, 34, 36, 38, 39, 43, 47, 49, 50], "order": [28, 49], "orexpress": 36, "org": [7, 9, 24], "orient": 5, "origin": [7, 9, 24, 25], "other": [17, 24, 28, 32, 33, 37, 40, 46, 49], "othersaggregateel": 36, "otherscas": 47, "othersgeneratecas": 32, "otherwis": [7, 24, 40, 49], "our": 7, "out": [7, 14, 17, 18, 24, 30], "outbound": 28, "outlin": 27, "output": 30, "outstand": 24, "overal": [8, 26], "overrid": [32, 34, 37, 44, 45, 46], "overview": 27, "overwrit": [9, 11], "own": [24, 27, 28], "owner": 24, "ownership": 24, "p": 9, "packag": [1, 5, 9, 17, 18, 26, 27, 28, 32, 33, 34, 35, 37, 38, 43, 44, 45, 46, 49], "packagebodi": [13, 17, 28, 34, 35, 37, 44, 46], "packagebodyexistserror": [28, 35], "packageexistsinlibraryerror": [28, 35], "packageinstanti": 38, "packagememb": 49, "packagememberreferencesymbol": 49, "packagerefer": [13, 34, 37, 38, 43, 44, 46], "packagereferencesymbol": [38, 49], "packagesymbol": [34, 49], "pacman": 9, "page": 24, "pair": 28, "paragraph": 7, "paramet": [14, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "parameterassociationitem": [29, 31, 32, 47], "parameterconstantinterfaceitem": [18, 39], "parameterfileinterfaceitem": [18, 39], "parameterinterfaceitem": [16, 20, 39], "parameterinterfaceitemmixin": 39, "parameteritem": 20, "parametermap": [31, 32, 47], "parametersignalinterfaceitem": [18, 39], "parametervariableinterfaceitem": [18, 39], "parent": [12, 13, 16, 17, 18, 19, 20, 22, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 50], "parenthesi": 0, "parenthesisexpress": 36, "parenthesisnam": 40, "parliament": 7, "pars": [9, 27, 28], "part": [7, 9, 17, 24, 29, 30, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 45, 47, 48, 49, 50], "parti": [7, 24], "partial": 26, "particular": [7, 24], "pass": 52, "pat": 9, "patch": [27, 28], "patent": 7, "path": [9, 17, 28], "pathlib": 9, "patrick": [27, 28], "pattern": [0, 28], "per": [28, 37, 44, 46], "percent": 24, "perform": [7, 24], "permiss": [7, 24], "permit": 7, "perpetu": 24, "person": 7, "pertain": 24, "physicalfloatingliter": 36, "physicalintegerliter": [36, 50], "physicalliter": 36, "physicaltyp": [22, 50], "pip": 9, "pip3": [6, 11], "pipelin": 27, "pkg": 34, "pkgname": 34, "place": [7, 24], "placehold": [14, 27, 51], "plu": 9, "point": [37, 40], "pointer": 40, "polici": 7, "poorli": [8, 26], "popul": [28, 34], "port": [13, 14, 27, 29, 30, 39, 40], "portassoci": 32, "portassociationitem": 29, "portinterfaceitem": [12, 13, 16, 39], "portinterfaceitemmixin": [32, 34, 39], "portion": 7, "portitem": [12, 13, 32, 34], "portsignalinterfaceitem": [18, 39], "posit": [42, 46], "possibl": [7, 24, 28, 49], "possiblerefer": 49, "possibli": [28, 37, 44, 46], "power": 24, "practic": 7, "pre": [27, 28], "preced": 17, "predefin": [0, 8, 26, 28, 37, 46], "predefinedlibrari": [0, 37, 44, 46], "predefinedpackag": [37, 44, 46], "predefinedpackagebodi": [37, 44, 46], "predefinedpackagemixin": [37, 44, 46], "prefer": [9, 24], "prefix": [9, 40], "prepar": 24, "prepart": 9, "presenc": 7, "present": [28, 42, 49], "prevent": 7, "previou": [7, 11], "primari": [17, 28, 34, 38, 43], "primaryunit": [13, 22, 34, 37, 38, 43, 44, 46, 50], "print": 24, "prior": 7, "privaci": 7, "privileg": 7, "proc": 32, "procedur": [28, 32, 33, 34, 37, 38, 39, 44, 45, 46, 48, 49], "procedurecallmixin": [31, 32, 47], "procedureinstanti": 38, "proceduremethod": [20, 48], "procedurenam": [31, 32, 47], "process": [7, 27, 28, 32, 34], "processstat": [12, 32], "produc": 7, "product": 24, "program": 5, "project": [7, 24, 27, 28], "promin": 24, "proper": 7, "properti": [12, 13, 16, 17, 18, 19, 20, 22, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "protect": [7, 30, 38, 48], "protectedtyp": [20, 48, 49, 50], "protectedtypebodi": 50, "provid": [5, 7, 9, 13, 24, 27, 28, 39], "provis": 7, "pseudonym": 7, "psf": 6, "psl": [28, 43], "pslentiti": 43, "pslmodel": [8, 26, 28], "pslprimaryunit": 43, "public": 30, "publicli": 24, "publish": [7, 27], "pull": 9, "punit": 7, "pure": 28, "purpos": [7, 24], "py": [0, 26], "py3": 11, "pyghdl": [9, 27], "pypi": 6, "pytest": [6, 26, 27, 52], "python": [1, 6, 11, 27], "pytool": [5, 6, 11, 28], "pyvhdlmodel": [0, 1, 5, 8, 9, 11, 25, 26], "pyvhdlpars": 1, "qualifiedexpress": [29, 30, 31, 32, 33, 36, 39, 40, 42, 47], "qualifiedexpressionalloc": 36, "qualnam": [28, 30, 33, 49], "quick": 9, "r": 6, "r870": 9, "rais": [28, 35, 37, 44, 46], "rang": [12, 14, 19, 22, 30, 32, 36, 47, 50], "rangeattribut": 49, "rangedaggregateel": 36, "rangedchoic": 47, "rangedgeneratechoic": 32, "rangedscalartyp": [22, 50], "rangeexpress": 36, "read": [7, 27, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "readabl": 24, "readonli": 30, "real": 46, "real_vector": 46, "realtyp": [22, 50], "reason": [7, 24], "receiv": [7, 24], "recipi": [7, 24], "recogn": 28, "recommend": [11, 24], "recompil": 9, "record": 7, "recordel": 49, "recordelementsymbol": 49, "recordtyp": [22, 49, 50], "recordtypeel": [22, 50], "recurs": 6, "reduc": 7, "refactor": 9, "refer": [5, 7, 10, 13, 18, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 46, 47, 48, 49, 50], "referenc": [5, 28, 34, 35, 37, 38, 40, 42, 43, 44, 46], "referencedlibrarynotexistingerror": 35, "reform": 7, "regard": [7, 24], "region": [8, 18, 25, 26, 28, 32, 34, 37, 44, 46], "regist": [28, 35], "regul": 7, "regular": [18, 20], "reinstal": 11, "reinstat": 7, "relat": [5, 7, 27, 28], "relationalexpress": 36, "relationship": [7, 28], "releas": 9, "remain": [7, 24], "remainderexpress": 36, "remedi": 7, "remov": [7, 27], "reositori": 9, "replac": [7, 24], "report": [11, 30], "reportstatementmixin": [30, 32, 47], "repositori": 9, "repr": [28, 34, 37, 40, 44, 46, 49], "repres": [0, 13, 18, 24, 28, 30, 32, 33, 34, 37, 38, 39, 40, 42, 43, 44, 46, 47, 49], "represent": [7, 27, 28, 37, 44, 46, 49], "reproduc": [7, 24], "reproduct": [7, 24], "request": [7, 28], "requir": [6, 7, 9, 11, 17, 24], "reserv": [7, 40], "resolv": [5, 28, 49], "resourc": 7, "respect": [0, 7], "respons": 24, "restrict": 7, "result": [7, 9, 24, 28, 37, 42, 44, 46, 49], "retain": [7, 24], "return": [28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "returnstat": [19, 47], "returntyp": 20, "returnvalu": [19, 47], "reus": 7, "revers": [0, 5], "revis": 24, "right": 24, "rightbound": [22, 30], "rightoperand": 36, "risk": 24, "rng": [32, 36, 47, 50], "root": [5, 17, 28, 40], "rotateexpress": 36, "rotateleftexpress": 36, "rotaterightexpress": 36, "roughli": [8, 26], "royalti": [7, 24], "rtl": [34, 49], "rtype": 28, "run": [9, 11], "runner": 27, "runtim": 52, "same": [11, 18, 24, 28, 37, 44, 46, 49], "satisfi": 7, "scalar": 50, "scalartyp": [22, 49, 50], "scan": 5, "scheme": 7, "scope": 41, "sea": 28, "search": 9, "second": [9, 28], "secondari": [17, 28, 34], "secondaryunit": [13, 22, 34, 37, 44, 46], "section": [11, 18, 24, 27], "secur": 7, "see": [10, 11, 13, 17, 18, 20, 24, 27, 28, 30, 33, 38, 39, 43, 48, 50], "seek": 7, "select": [11, 28, 40], "selectednam": [40, 49], "selectexpress": [12, 19], "selector": 32, "self": [0, 12, 13, 16, 17, 18, 19, 20, 22, 28, 29, 30, 32, 34, 36, 37, 40, 42, 44, 46, 47, 49, 50], "sell": 24, "semant": 42, "sensit": 32, "sensitivitylist": [12, 19, 32, 47], "sent": 24, "separ": [7, 24, 34, 40], "sequenc": [30, 33, 34, 37, 38, 43, 44, 46], "sequenti": [8, 18, 23, 26, 28, 32], "sequentialassertstat": [19, 47], "sequentialcas": [19, 47], "sequentialchoic": 47, "sequentialdeclar": 12, "sequentialdeclarationsmixin": [32, 47], "sequentialprocedurecal": 47, "sequentialreportstat": [19, 47], "sequentialsignalassign": [19, 47], "sequentialsimplesignalassign": 47, "sequentialstat": [12, 19, 20, 32, 47], "sequentialstatementsmixin": [32, 47], "sequentialvariableassign": [19, 47], "serv": 9, "servic": [6, 7, 24, 27, 28], "set": [0, 7, 28, 30, 34, 37, 38, 43, 44, 46, 49], "sever": [7, 12, 19, 30, 32, 47], "shall": [7, 24], "share": [7, 24, 25, 27, 32, 34, 37, 42, 44, 45, 46], "sharedvari": [32, 34, 37, 42, 44, 45, 46], "shift": 36, "shiftarithmeticexpress": 36, "shiftexpress": 36, "shiftleftarithmeticexpress": 36, "shiftleftlogicexpress": 36, "shiftlogicexpress": 36, "shiftrightarithmeticexpress": 36, "shiftrightlogicexpress": 36, "should": [7, 24, 28, 49], "show": 22, "shutdown": 6, "sign": 37, "signal": [14, 16, 28, 31, 32, 33, 34, 37, 39, 42, 44, 45, 46, 49], "signalassign": [12, 19], "signalassignmentmixin": [31, 32, 47], "signalattribut": 49, "similar": [7, 27], "similarli": 9, "simpl": 40, "simpleaggregateel": 36, "simpleinst": 52, "simplenam": [40, 49], "simplenameinexpress": 49, "simpleobjectorfunctioncallsymbol": 49, "simplesubtypesymbol": 49, "simpli": [7, 9], "simul": 9, "singl": [5, 27, 28, 40, 42], "skip": 52, "slice": 14, "slicednam": 40, "snippet": 27, "so": [7, 9, 28, 30], "societi": 7, "softwar": [9, 24], "sole": 24, "some": [9, 14, 17], "sound": 7, "sourc": [5, 11, 17, 24, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "sourcecod": [5, 17], "sourcefil": 28, "special": [7, 24], "specif": [17, 24, 33, 49], "specifi": 7, "sphinx": [11, 27], "sphinx_autodoc_typehint": 6, "sphinx_btd_them": 6, "sphinx_fontawesom": 6, "sphinxcontrib": 6, "split": 1, "sponsor": 7, "src": 9, "ss": [9, 52], "sss": 52, "stabl": 9, "standalon": 9, "standard": [7, 10, 46], "standard_bodi": 46, "start": [25, 28, 30, 33, 49], "state": [7, 24], "statement": [13, 17, 18, 23, 24, 30, 31, 32, 34, 40, 47], "static": [6, 28, 49], "statment": 26, "statu": 7, "statutori": 7, "std": [0, 8, 9, 17, 26, 28, 34, 37, 44], "std_logic": 37, "std_logic_1164": 37, "std_logic_1164_bodi": 37, "std_logic_misc": 37, "std_logic_misc_bodi": 37, "std_logic_textio": 37, "std_logic_vector": 37, "std_ulog": 37, "std_ulogic_vector": 37, "step": [9, 11], "still": 7, "stop": 7, "stoppag": 24, "store": 42, "str": [12, 13, 16, 17, 18, 19, 20, 22, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "stream": 9, "string": [0, 27, 28, 37, 44, 46, 49], "stringliter": 36, "structur": [9, 28, 35, 40], "style": 27, "sub": 6, "subclass": 27, "subexpress": 36, "subject": [7, 24], "sublicens": [7, 24], "submit": 24, "submodul": 28, "subprogram": [8, 14, 18, 23, 26, 28, 38, 39, 49], "subprograminstantiationmixin": 38, "subprogramm": 20, "subsequ": 24, "substanti": 7, "subtractionexpress": 36, "subtyp": [16, 18, 20, 22, 23, 32, 33, 34, 36, 37, 39, 42, 44, 45, 46, 49, 50], "subtypealloc": 36, "subtypesymbol": 49, "succeed": 7, "suggest": 9, "suitabl": [28, 37, 44, 46], "supersed": 24, "supplement": 7, "suppli": 7, "support": [9, 18, 24, 27, 28, 32], "surviv": 7, "suyi": 9, "symbol": [8, 26, 27, 28, 29, 31, 33, 34, 35, 36, 37, 39, 40, 42, 44, 46, 47, 50, 52], "synch": 7, "syntax": [24, 42], "synthes": 9, "synthesi": 9, "system": [9, 24], "t": [9, 24, 28, 35, 37, 44, 46], "tag": 9, "take": [9, 34], "target": [12, 19, 28, 31, 32, 47, 49], "tbd": [1, 9, 45], "technic": 7, "technolog": 7, "term": 24, "termin": 24, "ternari": 36, "ternaryexpress": 36, "test": [11, 27, 52], "test_adddocu": 52, "test_addlibrari": 52, "test_allnam": 52, "test_allpackagemembersreferencesymbol": 52, "test_analyz": 52, "test_architectur": 52, "test_arrai": 52, "test_attributenam": 52, "test_componentinstantiationsymbol": 52, "test_configur": 52, "test_configurationinstantiationsymbol": 52, "test_context": 52, "test_contextreferencesymbol": 52, "test_createhierarchygraph": 52, "test_dependencygraph": 52, "test_design": 52, "test_designunit": 52, "test_docu": 52, "test_document": 52, "test_ent": 52, "test_entityinstantiationsymbol": 52, "test_getlibrari": 52, "test_ieeelibrari": 52, "test_ieeesynopsyslibrari": 52, "test_indexarchitectur": 52, "test_indexpackag": 52, "test_integ": 52, "test_librari": 52, "test_libraryreferencesymbol": 52, "test_linkarchitectur": 52, "test_linkcontext": 52, "test_linkcontextrefer": 52, "test_linkinstanti": 52, "test_linklibraryrefer": 52, "test_linkpackagebodi": 52, "test_linkpackagerefer": 52, "test_packag": 52, "test_packagebodi": 52, "test_packagememberreferencesymbol": 52, "test_packagereferencesymbol": 52, "test_packagesymbol": 52, "test_real": 52, "test_record": 52, "test_selectedentitysymbol": 52, "test_selectedname_1": 52, "test_selectedname_2": 52, "test_simpleentitysymbol": 52, "test_simplenam": 52, "test_stdlibrari": 52, "test_subtyp": 52, "testcas": 52, "testsuit": [9, 52], "text": [7, 24, 25, 28], "text_io": 34, "textio": 46, "textio_bodi": 46, "than": [7, 28], "thei": 7, "them": 7, "theori": [7, 24], "therefor": [28, 30], "thereof": 24, "thi": [5, 7, 9, 11, 17, 18, 24, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "third": 24, "those": [7, 24], "through": [7, 9, 24], "thu": [0, 6, 11, 17, 28, 30, 40, 42], "time": [7, 28, 46], "time_vector": 46, "timeout": [19, 47], "titl": [7, 24], "todo": [34, 37, 44, 45, 46], "togeth": 5, "token": 9, "too": [6, 42], "tool": [9, 27, 28], "top": 28, "toplevel": [5, 9, 27, 28], "topolog": 28, "tort": 24, "total": [8, 26], "totalbit": 33, "track": 24, "trade": 24, "trademark": 7, "transfer": 24, "transform": [7, 24, 27], "translat": [7, 9, 24], "treati": 7, "tree": 5, "true": [0, 28, 29, 30, 31, 32, 33, 34, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49, 50], "tupl": [9, 22, 30, 39, 42, 50], "twine": 6, "two": [0, 5, 9, 17, 28, 30], "txt": 6, "type": [0, 8, 13, 14, 21, 23, 24, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 42, 43, 44, 45, 46, 47, 48, 49], "typeattribut": 49, "typeconvers": [29, 30, 31, 32, 33, 36, 39, 40, 42, 47], "typeerror": 28, "u": [6, 11], "ucrt": 9, "ucrt64": 9, "unai": 27, "unari": 36, "unaryandexpress": 36, "unaryexpress": 36, "unarynandexpress": 36, "unarynorexpress": 36, "unaryorexpress": 36, "unaryxnorexpress": 36, "unaryxorexpress": 36, "unauthor": 7, "unconnect": 0, "under": [7, 9, 24, 27], "underli": [33, 39, 50], "understand": 7, "undertaken": 7, "undocu": [8, 26, 30, 31, 36, 38, 45, 47], "unenforc": 7, "unequ": 28, "unequalexpress": 36, "unifi": [27, 28], "uninstantiatedpackag": 38, "union": [24, 28, 30, 34, 37, 43, 44, 46], "uniqu": [0, 28], "unit": [0, 5, 11, 17, 23, 28, 33, 34, 37, 38, 43, 44, 46, 50, 52], "unitnam": 36, "unknown": 28, "unless": [7, 24], "unpack": 9, "unresolved_sign": 37, "unresolved_unsign": 37, "unsign": [37, 42, 49], "until": [9, 18], "updat": [9, 28], "upon": 7, "uri": 7, "us": [0, 5, 6, 14, 16, 17, 24, 28, 29, 30, 31, 32, 34, 37, 38, 39, 40, 43, 44, 46, 49], "usag": [9, 40], "useclaus": [13, 34, 37, 38, 43, 44, 46], "user": 11, "util": 49, "v0": [1, 27], "valu": [0, 18, 28, 30, 33, 34, 36, 37, 38, 39, 42, 43, 44, 46, 49], "valueattribut": 49, "valueerror": 28, "variabl": [9, 14, 16, 25, 28, 30, 31, 32, 33, 34, 37, 39, 42, 44, 45, 46, 49], "variableassign": 19, "variableassignmentmixin": [31, 47], "variant": [9, 11, 28], "verbal": 24, "verif": 28, "verificationmod": [28, 43], "verificationproperti": [28, 43], "verificationunit": [28, 43], "version": [6, 9, 11, 24, 28], "vertex": [0, 5, 28, 34, 37, 38, 39, 42, 43, 44, 46], "vertexid": 0, "vertic": [0, 5, 28], "vhdl": [0, 5, 9, 10, 13, 14, 18, 21, 22, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "vhdl2000": 28, "vhdl2002": 28, "vhdl2008": 28, "vhdl2019": 28, "vhdl87": 28, "vhdl93": 28, "vhdldocument": 52, "vhdllibrari": 52, "vhdlmodelexcept": [28, 35, 37, 44, 46], "vhdlversion": 28, "via": [0, 6, 9, 18, 27, 40, 42], "view": [33, 49], "viewattribut": 49, "violat": 7, "virtual": [28, 32, 34, 37, 44, 45, 46], "visibl": 17, "voluntari": 7, "w64": 9, "wa": [1, 6, 9, 24, 27, 28, 34, 37, 38, 43, 44, 46], "wai": 7, "wait": 18, "waitstat": [19, 47], "waiv": 7, "waivabl": 7, "waiver": 7, "want": 11, "waveform": [32, 47], "waveformel": [30, 32, 47], "we": 24, "well": [0, 7, 8, 9, 26, 28, 30, 34], "were": 34, "wheel": 6, "when": [14, 28, 30, 34, 35, 49], "whenelseexpress": 36, "where": [7, 24], "wherev": 24, "whether": [7, 24], "which": [5, 7, 24, 40], "while": [24, 27, 28, 40], "whileloopstat": [19, 47], "whl": 11, "whole": [7, 24, 28], "whom": 24, "window": 11, "wipo": 7, "withcontext": 28, "withdeclareditem": 28, "withdefaultexpress": 42, "withdefaultexpressionmixin": [16, 18, 39, 42], "within": [7, 24], "without": [7, 9, 18, 24, 28], "word": 40, "work": [7, 24, 28, 32, 49], "workflow": 27, "world": 7, "worldwid": [7, 24], "would": 9, "wrapper": 49, "write": [9, 12, 13, 15, 16, 18, 19, 20, 21, 22, 24, 25], "written": [7, 24], "www": 24, "x86_64": 9, "xnorexpress": 36, "xorexpress": 36, "xx": 28, "xxxx": 28, "y": 11, "year": [9, 28], "yet": [5, 6, 28], "yield": 28, "you": [7, 24], "your": [7, 24], "yyyi": 24, "zip": 9, "\u00aa": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u00b2": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u00b3": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u00b5": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u00b9": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u00ba": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u00bc": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u00bd": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u00be": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u02c7": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03b5": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03c2": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03c5": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03c6": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03c9": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03d1": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03d5": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03d6": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03dd": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03f0": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03f1": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u03f5": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2102": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u210b": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u210c": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u210d": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u210f": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2110": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2111": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2112": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2115": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2119": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u211a": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u211b": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u211c": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u211d": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2124": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2128": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u212c": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u212d": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2130": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2131": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2133": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2134": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2145": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2146": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2147": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u2148": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u215b": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u215c": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u215d": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "\u215e": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52]}, "titles": ["Analyze", "ChangeLog", "Compile Order Graph", "Dependency Graph", "Hierarchy Graph", "Data Structures", "Dependency", "Creative Commons Attribution 4.0 International", "Documentation Coverage", "Getting Started", "Glossary", "Installation/Updates", "Concurrent Statements", "Design Units", "Enumerations", "Literals and Expressions", "Interface Items", "Concepts not defined by VHDL", "Object Declarations", "Sequential Statements", "Subprogram Declarations", "Subtype Declarations", "Type Declarations", "VHDL Language Model", "Apache License 2.0", "TODOs", "Code Coverage Report", "The pyVHDLModel Documentation", "pyVHDLModel", "pyVHDLModel.Association", "pyVHDLModel.Base", "pyVHDLModel.Common", "pyVHDLModel.Concurrent", "pyVHDLModel.Declaration", "pyVHDLModel.DesignUnit", "pyVHDLModel.Exception", "pyVHDLModel.Expression", "pyVHDLModel.IEEE", "pyVHDLModel.Instantiation", "pyVHDLModel.Interface", "pyVHDLModel.Name", "pyVHDLModel.Namespace", "pyVHDLModel.Object", "pyVHDLModel.PSLModel", "pyVHDLModel.Predefined", "pyVHDLModel.Regions", "pyVHDLModel.STD", "pyVHDLModel.Sequential", "pyVHDLModel.Subprogram", "pyVHDLModel.Symbol", "pyVHDLModel.Type", "Static Type Checking Report", "Unittest Summary Report"], "titleterms": {"0": [7, 24], "1": [0, 7, 24], "10": 0, "11": 0, "12": [0, 1], "13": 0, "14": 0, "2": [0, 7, 24], "2020": [1, 27], "2021": 27, "2022": 27, "2023": 27, "26": 1, "3": [0, 7, 24], "4": [0, 7, 24], "5": [0, 7, 24], "6": [0, 7, 24], "7": [0, 7, 24], "8": [0, 7, 24], "9": [0, 24], "For": [12, 19], "If": [12, 19], "On": 9, "The": 27, "accept": 24, "access": 22, "ad": [15, 27], "addit": 24, "adopt": 27, "analysi": [0, 27], "analyz": 0, "apach": 24, "architectur": 0, "architetur": 13, "arrai": 22, "assert": [12, 19], "assign": [12, 19], "associ": 29, "attribut": 7, "base": 30, "bash": 9, "binari": 15, "block": 12, "bodi": [0, 13], "branch": 19, "call": [12, 19], "case": [12, 19, 27], "changelog": 1, "check": [6, 51], "ci": 6, "code": 26, "common": [7, 31], "compil": [0, 2, 5, 27], "compon": [0, 12], "composit": 22, "comput": 0, "concept": 17, "concurr": [12, 32], "condit": 7, "configur": [12, 13], "constant": 18, "content": [12, 13, 14, 15, 16, 17, 18, 19, 20, 22], "context": [0, 13], "contribut": 24, "contributor": 27, "copyright": [24, 28], "coverag": [6, 8, 26], "creat": 0, "creativ": 7, "data": 5, "databas": 7, "dec": 27, "declar": [18, 20, 21, 22, 33], "defer": 18, "defin": 17, "definit": [7, 24], "depend": [0, 3, 5, 6, 27], "design": [13, 17], "designunit": 34, "direct": 14, "disclaim": [7, 24], "document": [6, 8, 17, 27], "endless": 19, "enhanc": 27, "entiti": [12, 13], "enumer": [14, 15, 22], "exampl": [32, 33, 34, 42, 49], "except": 35, "exit": 19, "express": [15, 36], "file": [18, 22], "first": 27, "float": 15, "from": [9, 11, 27], "function": 20, "gener": [12, 16, 18, 20], "generi": 7, "genericconstantinterfaceitem": 16, "genericfunctioninterfaceitem": 16, "genericpackageinterfaceitem": 16, "genericprocedureinterfaceitem": 16, "generictypeinterfaceitem": 16, "get": 9, "gettingstart": 9, "ghdl": 9, "glossari": 10, "goal": 27, "grant": 24, "graph": [0, 2, 3, 4, 5], "hierarchi": [0, 4, 5, 27], "ieee": 37, "index": 0, "inform": 28, "instal": [9, 11], "instanti": [0, 12, 20, 38], "integ": [15, 22], "interfac": [16, 39], "intern": 7, "interpret": 7, "item": 16, "jan": 27, "jul": 27, "jun": 27, "languag": 23, "legaci": 11, "liabil": [7, 24], "libghdl": 9, "librari": [0, 17], "licens": [7, 24, 27], "limit": [7, 24], "link": 0, "linux": 9, "liter": 15, "local": 11, "logic": 15, "loop": 19, "mac": 9, "main": 27, "method": 20, "mode": 14, "model": [23, 27], "msys2": 9, "multipli": 15, "name": 40, "namespac": 41, "nativ": 9, "new": 27, "next": 19, "object": [14, 18, 42], "objectclass": 14, "onli": 6, "option": 6, "order": [0, 2, 5, 27], "other": 7, "packag": [0, 6, 11, 13], "paramet": [16, 18], "parameterconstantinterfaceitem": 16, "parameterfileinterfaceitem": 16, "parametersignalinterfaceitem": 16, "parametervariableinterfaceitem": 16, "parser": 9, "patent": 24, "physic": [15, 22], "pip": 11, "point": 15, "port": [16, 18], "portsignalinterfaceitem": 16, "powershel": 9, "predefin": 44, "primari": 13, "procedur": [12, 19, 20], "process": 12, "properti": 27, "protect": 22, "pslmodel": 43, "public": 7, "publish": 6, "py": [9, 11], "pypi": 11, "python": 9, "pyvhdlmodel": [6, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50], "pyvhdlpars": [9, 27], "real": 22, "record": 22, "redistribut": 24, "refer": 0, "region": 45, "relat": 15, "releas": 1, "report": [19, 26, 51, 52], "return": 19, "right": 7, "scalar": 22, "scope": 7, "secondari": 13, "section": 7, "sequenti": [19, 47], "server": 6, "setup": [9, 11], "share": 18, "shift": 15, "signal": [12, 18, 19], "sourc": 9, "sphinx": 6, "split": 27, "start": 9, "statement": [12, 19], "static": 51, "std": 46, "structur": 5, "submiss": 24, "subprogram": [20, 48], "subtyp": 21, "sui": 7, "summari": 52, "symbol": 49, "tabl": [12, 13, 14, 15, 16, 17, 18, 19, 20, 22], "term": 7, "termin": 7, "ternari": 15, "test": 6, "todo": [9, 12, 13, 15, 16, 18, 19, 20, 21, 22, 25, 28, 32, 42], "trademark": 24, "type": [6, 22, 50, 51], "unari": 15, "uninstal": 11, "unit": [6, 13], "unittest": 52, "upcom": 1, "updat": 11, "us": [7, 9, 11, 27], "variabl": [18, 19], "vhdl": [17, 23], "via": 11, "wait": 19, "warranti": [7, 24], "wheel": 11, "while": 19, "window": 9}}) \ No newline at end of file diff --git a/typing/html/pyVHDLModel/Association.py.html b/typing/html/pyVHDLModel/Association.py.html new file mode 100644 index 000000000..15419dee5 --- /dev/null +++ b/typing/html/pyVHDLModel/Association.py.html @@ -0,0 +1,239 @@ + + + + + + +

pyVHDLModel.Association

+ + + + + + +
pyVHDLModel/Association.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Associations are used in generic maps, port maps and parameter maps.
+"""
+from typing               import Optional as Nullable, Union
+
+from pyTooling.Decorators import export, readonly
+
+from pyVHDLModel.Base       import ModelEntity
+from pyVHDLModel.Symbol     import Symbol
+from pyVHDLModel.Expression import BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal
+
+
+ExpressionUnion = Union[
+	BaseExpression,
+	QualifiedExpression,
+	FunctionCall,
+	TypeConversion,
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	Literal,
+]
+
+
+@export
+class AssociationItem(ModelEntity):
+	"""
+	A base-class for all association items.
+	"""
+
+	_formal: Nullable[Symbol]
+	_actual: ExpressionUnion
+
+	def __init__(self, actual: ExpressionUnion, formal: Nullable[Symbol] = None) -> None:
+		super().__init__()
+
+		self._formal = formal
+		if formal is not None:
+			formal._parent = self
+
+		self._actual = actual
+		# actual._parent = self  # FIXME: actual is provided as None
+
+	@readonly
+	def Formal(self) -> Nullable[Symbol]:  # TODO: can also be a conversion function !!
+		return self._formal
+
+	@readonly
+	def Actual(self) -> ExpressionUnion:
+		return self._actual
+
+	def __str__(self) -> str:
+		if self._formal is None:
+			return str(self._actual)
+		else:
+			return f"{self._formal!s} => {self._actual!s}"
+
+
+@export
+class GenericAssociationItem(AssociationItem):
+	"""
+	A base-class for all generic association items used in generic map aspects.
+	"""
+
+
+@export
+class PortAssociationItem(AssociationItem):
+	"""
+	A base-class for all port association items used in port map aspects.
+	"""
+
+
+@export
+class ParameterAssociationItem(AssociationItem):
+	"""
+	A base-class for all parameter association items used in parameter map aspects.
+	"""
+
+ + diff --git a/typing/html/pyVHDLModel/Base.py.html b/typing/html/pyVHDLModel/Base.py.html new file mode 100644 index 000000000..97162fcc5 --- /dev/null +++ b/typing/html/pyVHDLModel/Base.py.html @@ -0,0 +1,951 @@ + + + + + + +

pyVHDLModel.Base

+ + + + + + +
pyVHDLModel/Base.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+402
+403
+404
+405
+406
+407
+408
+409
+410
+411
+412
+413
+414
+415
+416
+417
+418
+419
+420
+421
+422
+423
+424
+425
+426
+427
+428
+429
+430
+431
+432
+433
+434
+435
+436
+437
+438
+439
+440
+441
+442
+443
+444
+445
+446
+447
+448
+449
+450
+451
+452
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Base-classes for the VHDL language model.
+"""
+from enum                  import unique, Enum
+from typing                import Type, Tuple, Iterable, Optional as Nullable, Union, cast
+
+from pyTooling.Decorators  import export, readonly
+from pyTooling.MetaClasses import ExtendedType
+
+
+__all__ = ["ExpressionUnion"]
+
+
+ExpressionUnion = Union[
+	'BaseExpression',
+	'QualifiedExpression',
+	'FunctionCall',
+	'TypeConversion',
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	'Literal',
+]
+
+
+@export
+@unique
+class Direction(Enum):
+	"""An enumeration representing a direction in a range	(``to`` or ``downto``)."""
+
+	To =      0  #: Ascending direction
+	DownTo =  1  #: Descending direction
+
+	def __str__(self) -> str:
+		"""
+		Formats the direction to ``to`` or ``downto``.
+
+		:returns: Formatted direction.
+		"""
+		return ("to", "downto")[cast(int, self.value)]       # TODO: check performance
+
+
+@export
+@unique
+class Mode(Enum):
+	"""
+	A ``Mode`` is an enumeration. It represents the direction of data exchange (``in``, ``out``, ...) for objects in
+	generic, port or parameter lists.
+
+	In case no *mode* is defined, ``Default`` is used, so the *mode* is inferred from context.
+	"""
+
+	Default = 0  #: Mode not defined, thus it's context dependent.
+	In =      1  #: Input
+	Out =     2  #: Output
+	InOut =   3  #: Bi-directional
+	Buffer =  4  #: Buffered output
+	Linkage = 5  #: undocumented
+
+	def __str__(self) -> str:
+		"""
+		Formats the direction.
+
+		:returns: Formatted direction.
+		"""
+		return ("", "in", "out", "inout", "buffer", "linkage")[cast(int, self.value)]       # TODO: check performance
+
+
+@export
+class ModelEntity(metaclass=ExtendedType, slots=True):
+	"""
+	``ModelEntity`` is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
+	inheritance) and enumerations.
+
+	Each entity in this model has a reference to its parent entity. Therefore, a protected variable :attr:`_parent` is
+	available and a readonly property :attr:`Parent`.
+	"""
+
+	_parent: 'ModelEntity'      #: Reference to a parent entity in the logical model hierarchy.
+
+	def __init__(self, parent: Nullable["ModelEntity"] = None) -> None:
+		"""
+		Initializes a VHDL model entity.
+
+		:param parent: The parent model entity of this entity.
+		"""
+		self._parent = parent
+
+	@readonly
+	def Parent(self) -> 'ModelEntity':
+		"""
+		Read-only property to access the model entity's parent element reference in a logical hierarchy (:attr:`_parent`).
+
+		:returns: Reference to the parent entity.
+		"""
+		return self._parent
+
+	def GetAncestor(self, type: Type) -> 'ModelEntity':
+		parent = self._parent
+		while not isinstance(parent, type):
+			parent = parent._parent
+
+		return parent
+
+
+@export
+class NamedEntityMixin(metaclass=ExtendedType, mixin=True):
+	"""
+	A ``NamedEntityMixin`` is a mixin class for all VHDL entities that have identifiers.
+
+	Protected variables :attr:`_identifier` and :attr:`_normalizedIdentifier` are available to derived classes as well as
+	two readonly properties :attr:`Identifier` and :attr:`NormalizedIdentifier` for public access.
+	"""
+
+	_identifier: str            #: The identifier of a model entity.
+	_normalizedIdentifier: str  #: The normalized (lower case) identifier of a model entity.
+
+	def __init__(self, identifier: str) -> None:
+		"""
+		Initializes a named entity.
+
+		:param identifier: Identifier (name) of the model entity.
+		"""
+		self._identifier = identifier
+		self._normalizedIdentifier = identifier.lower()
+
+	@readonly
+	def Identifier(self) -> str:
+		"""
+		Returns a model entity's identifier (name).
+
+		:returns: Name of a model entity.
+		"""
+		return self._identifier
+
+	@readonly
+	def NormalizedIdentifier(self) -> str:
+		"""
+		Returns a model entity's normalized identifier (lower case name).
+
+		:returns: Normalized name of a model entity.
+		"""
+		return self._normalizedIdentifier
+
+
+@export
+class MultipleNamedEntityMixin(metaclass=ExtendedType, mixin=True):
+	"""
+	A ``MultipleNamedEntityMixin`` is a mixin class for all VHDL entities that declare multiple instances at once by
+	defining multiple identifiers.
+
+	Protected variables :attr:`_identifiers` and :attr:`_normalizedIdentifiers` are available to derived classes as well
+	as two readonly properties :attr:`Identifiers` and :attr:`NormalizedIdentifiers` for public access.
+	"""
+
+	_identifiers:           Tuple[str]  #: A list of identifiers.
+	_normalizedIdentifiers: Tuple[str]  #: A list of normalized (lower case) identifiers.
+
+	def __init__(self, identifiers: Iterable[str]) -> None:
+		"""
+		Initializes a multiple-named entity.
+
+		:param identifiers: Sequence of identifiers (names) of the model entity.
+		"""
+		self._identifiers = tuple(identifiers)
+		self._normalizedIdentifiers = tuple([identifier.lower() for identifier in identifiers])
+
+	@readonly
+	def Identifiers(self) -> Tuple[str]:
+		"""
+		Returns a model entity's tuple of identifiers (names).
+
+		:returns: Tuple of identifiers.
+		"""
+		return self._identifiers
+
+	@readonly
+	def NormalizedIdentifiers(self) -> Tuple[str]:
+		"""
+		Returns a model entity's tuple of normalized identifiers (lower case names).
+
+		:returns: Tuple of normalized identifiers.
+		"""
+		return self._normalizedIdentifiers
+
+
+@export
+class LabeledEntityMixin(metaclass=ExtendedType, mixin=True):
+	"""
+	A ``LabeledEntityMixin`` is a mixin class for all VHDL entities that can have labels.
+
+	protected variables :attr:`_label` and :attr:`_normalizedLabel` are available to derived classes as well as two
+	readonly properties :attr:`Label` and :attr:`NormalizedLabel` for public access.
+	"""
+	_label:           Nullable[str]  #: The label of a model entity.
+	_normalizedLabel: Nullable[str]  #: The normalized (lower case) label of a model entity.
+
+	def __init__(self, label: Nullable[str]) -> None:
+		"""
+		Initializes a labeled entity.
+
+		:param label: Label of the model entity.
+		"""
+		self._label = label
+		self._normalizedLabel = label.lower() if label is not None else None
+
+	@readonly
+	def Label(self) -> Nullable[str]:
+		"""
+		Returns a model entity's label.
+
+		:returns: Label of a model entity.
+		"""
+		return self._label
+
+	@readonly
+	def NormalizedLabel(self) -> Nullable[str]:
+		"""
+		Returns a model entity's normalized (lower case) label.
+
+		:returns: Normalized label of a model entity.
+		"""
+		return self._normalizedLabel
+
+
+@export
+class DocumentedEntityMixin(metaclass=ExtendedType, mixin=True):
+	"""
+	A ``DocumentedEntityMixin`` is a mixin class for all VHDL entities that can have an associated documentation.
+
+	A protected variable :attr:`_documentation` is available to derived classes as well as a readonly property
+	:attr:`Documentation` for public access.
+	"""
+
+	_documentation: Nullable[str]  #: The associated documentation of a model entity.
+
+	def __init__(self, documentation: Nullable[str]) -> None:
+		"""
+		Initializes a documented entity.
+
+		:param documentation: Documentation of a model entity.
+		"""
+		self._documentation = documentation
+
+	@readonly
+	def Documentation(self) -> Nullable[str]:
+		"""
+		Returns a model entity's associated documentation.
+
+		:returns: Associated documentation of a model entity.
+		"""
+		return self._documentation
+
+
+@export
+class ConditionalMixin(metaclass=ExtendedType, mixin=True):
+	"""A ``ConditionalMixin`` is a mixin-class for all statements with a condition."""
+
+	_condition: ExpressionUnion
+
+	def __init__(self, condition: Nullable[ExpressionUnion] = None) -> None:
+		"""
+		Initializes a statement with a condition.
+
+		When the condition is not None, the condition's parent reference is set to this statement.
+
+		:param condition: The expression representing the condition.
+		"""
+		self._condition = condition
+		if condition is not None:
+			condition._parent = self
+
+	@readonly
+	def Condition(self) -> ExpressionUnion:
+		"""
+		Read-only property to access the condition of a statement (:attr:`_condition`).
+
+		:returns: The expression representing the condition of a statement.
+		"""
+		return self._condition
+
+
+@export
+class BranchMixin(metaclass=ExtendedType, mixin=True):
+	"""A ``BranchMixin`` is a mixin-class for all statements with branches."""
+
+	def __init__(self) -> None:
+		pass
+
+
+@export
+class ConditionalBranchMixin(BranchMixin, ConditionalMixin, mixin=True):
+	"""A ``BaseBranch`` is a mixin-class for all branch statements with a condition."""
+	def __init__(self, condition: ExpressionUnion) -> None:
+		super().__init__()
+		ConditionalMixin.__init__(self, condition)
+
+
+@export
+class IfBranchMixin(ConditionalBranchMixin, mixin=True):
+	"""A ``BaseIfBranch`` is a mixin-class for all if-branches."""
+
+
+@export
+class ElsifBranchMixin(ConditionalBranchMixin, mixin=True):
+	"""A ``BaseElsifBranch`` is a mixin-class for all elsif-branches."""
+
+
+@export
+class ElseBranchMixin(BranchMixin, mixin=True):
+	"""A ``BaseElseBranch`` is a mixin-class for all else-branches."""
+
+
+@export
+class ReportStatementMixin(metaclass=ExtendedType, mixin=True):
+	"""A ``MixinReportStatement`` is a mixin-class for all report and assert statements."""
+
+	_message:  Nullable[ExpressionUnion]
+	_severity: Nullable[ExpressionUnion]
+
+	def __init__(self, message: Nullable[ExpressionUnion] = None, severity: Nullable[ExpressionUnion] = None) -> None:
+		self._message = message
+		if message is not None:
+			message._parent = self
+
+		self._severity = severity
+		if severity is not None:
+			severity._parent = self
+
+	@property
+	def Message(self) -> Nullable[ExpressionUnion]:
+		return self._message
+
+	@property
+	def Severity(self) -> Nullable[ExpressionUnion]:
+		return self._severity
+
+
+@export
+class AssertStatementMixin(ReportStatementMixin, ConditionalMixin, mixin=True):
+	"""A ``MixinAssertStatement`` is a mixin-class for all assert statements."""
+
+	def __init__(self, condition: ExpressionUnion, message: Nullable[ExpressionUnion] = None, severity: Nullable[ExpressionUnion] = None) -> None:
+		super().__init__(message, severity)
+		ConditionalMixin.__init__(self, condition)
+
+
+class BlockStatementMixin(metaclass=ExtendedType, mixin=True):
+	"""A ``BlockStatement`` is a mixin-class for all block statements."""
+
+	def __init__(self) -> None:
+		pass
+
+
+@export
+class BaseChoice(ModelEntity):
+	"""A ``Choice`` is a base-class for all choices."""
+
+
+@export
+class BaseCase(ModelEntity):
+	"""
+	A ``Case`` is a base-class for all cases.
+	"""
+
+
+@export
+class Range(ModelEntity):
+	_leftBound:  ExpressionUnion
+	_rightBound: ExpressionUnion
+	_direction:  Direction
+
+	def __init__(self, leftBound: ExpressionUnion, rightBound: ExpressionUnion, direction: Direction, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._leftBound = leftBound
+		leftBound._parent = self
+
+		self._rightBound = rightBound
+		rightBound._parent = self
+
+		self._direction = direction
+
+	@property
+	def LeftBound(self) -> ExpressionUnion:
+		return self._leftBound
+
+	@property
+	def RightBound(self) -> ExpressionUnion:
+		return self._rightBound
+
+	@property
+	def Direction(self) -> Direction:
+		return self._direction
+
+	def __str__(self) -> str:
+		return f"{self._leftBound!s} {self._direction!s} {self._rightBound!s}"
+
+
+@export
+class WaveformElement(ModelEntity):
+	_expression: ExpressionUnion
+	_after: ExpressionUnion
+
+	def __init__(self, expression: ExpressionUnion, after: Nullable[ExpressionUnion] = None, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._expression = expression
+		expression._parent = self
+
+		self._after = after
+		if after is not None:
+			after._parent = self
+
+	@property
+	def Expression(self) -> ExpressionUnion:
+		return self._expression
+
+	@property
+	def After(self) -> Expression:
+		return self._after
+
+ + diff --git a/typing/html/pyVHDLModel/Common.py.html b/typing/html/pyVHDLModel/Common.py.html new file mode 100644 index 000000000..5b7e43db4 --- /dev/null +++ b/typing/html/pyVHDLModel/Common.py.html @@ -0,0 +1,282 @@ + + + + + + +

pyVHDLModel.Common

+ + + + + + +
pyVHDLModel/Common.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Common definitions and Mixins are used by many classes in the model as base-classes.
+"""
+from typing                  import List, Iterable, Union, Optional as Nullable
+
+from pyTooling.Decorators    import export, readonly
+from pyTooling.MetaClasses   import ExtendedType
+
+from pyVHDLModel.Base        import ModelEntity, LabeledEntityMixin
+from pyVHDLModel.Expression  import BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal
+from pyVHDLModel.Symbol      import Symbol
+from pyVHDLModel.Association import ParameterAssociationItem
+
+
+ExpressionUnion = Union[
+	BaseExpression,
+	QualifiedExpression,
+	FunctionCall,
+	TypeConversion,
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	Literal,
+]
+
+
+@export
+class Statement(ModelEntity, LabeledEntityMixin):
+	"""
+	A ``Statement`` is a base-class for all statements.
+	"""
+	def __init__(self, label: Nullable[str] = None, parent=None) -> None:
+		super().__init__(parent)
+		LabeledEntityMixin.__init__(self, label)
+
+
+@export
+class ProcedureCallMixin(metaclass=ExtendedType, mixin=True):
+	_procedure:         Symbol  # TODO: implement a ProcedureSymbol
+	_parameterMappings: List[ParameterAssociationItem]
+
+	def __init__(self, procedureName: Symbol, parameterMappings: Nullable[Iterable[ParameterAssociationItem]] = None) -> None:
+		self._procedure = procedureName
+		procedureName._parent = self
+
+		# TODO: extract to mixin
+		self._parameterMappings = []
+		if parameterMappings is not None:
+			for parameterMapping in parameterMappings:
+				self._parameterMappings.append(parameterMapping)
+				parameterMapping._parent = self
+
+	@readonly
+	def Procedure(self) -> Symbol:
+		return self._procedure
+
+	@property
+	def ParameterMappings(self) -> List[ParameterAssociationItem]:
+		return self._parameterMappings
+
+
+@export
+class AssignmentMixin(metaclass=ExtendedType, mixin=True):
+	"""A mixin-class for all assignment statements."""
+
+	_target: Symbol
+
+	def __init__(self, target: Symbol) -> None:
+		self._target = target
+		target._parent = self
+
+	@property
+	def Target(self) -> Symbol:
+		return self._target
+
+
+@export
+class SignalAssignmentMixin(AssignmentMixin, mixin=True):
+	"""A mixin-class for all signal assignment statements."""
+
+
+@export
+class VariableAssignmentMixin(AssignmentMixin, mixin=True):
+	"""A mixin-class for all variable assignment statements."""
+
+	# FIXME: move to sequential?
+	_expression: ExpressionUnion
+
+	def __init__(self, target: Symbol, expression: ExpressionUnion) -> None:
+		super().__init__(target)
+
+		self._expression = expression
+		expression._parent = self
+
+	@property
+	def Expression(self) -> ExpressionUnion:
+		return self._expression
+
+ + diff --git a/typing/html/pyVHDLModel/Concurrent.py.html b/typing/html/pyVHDLModel/Concurrent.py.html new file mode 100644 index 000000000..abcb6f2bd --- /dev/null +++ b/typing/html/pyVHDLModel/Concurrent.py.html @@ -0,0 +1,1832 @@ + + + + + + +

pyVHDLModel.Concurrent

+ + + + + + +
pyVHDLModel/Concurrent.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+402
+403
+404
+405
+406
+407
+408
+409
+410
+411
+412
+413
+414
+415
+416
+417
+418
+419
+420
+421
+422
+423
+424
+425
+426
+427
+428
+429
+430
+431
+432
+433
+434
+435
+436
+437
+438
+439
+440
+441
+442
+443
+444
+445
+446
+447
+448
+449
+450
+451
+452
+453
+454
+455
+456
+457
+458
+459
+460
+461
+462
+463
+464
+465
+466
+467
+468
+469
+470
+471
+472
+473
+474
+475
+476
+477
+478
+479
+480
+481
+482
+483
+484
+485
+486
+487
+488
+489
+490
+491
+492
+493
+494
+495
+496
+497
+498
+499
+500
+501
+502
+503
+504
+505
+506
+507
+508
+509
+510
+511
+512
+513
+514
+515
+516
+517
+518
+519
+520
+521
+522
+523
+524
+525
+526
+527
+528
+529
+530
+531
+532
+533
+534
+535
+536
+537
+538
+539
+540
+541
+542
+543
+544
+545
+546
+547
+548
+549
+550
+551
+552
+553
+554
+555
+556
+557
+558
+559
+560
+561
+562
+563
+564
+565
+566
+567
+568
+569
+570
+571
+572
+573
+574
+575
+576
+577
+578
+579
+580
+581
+582
+583
+584
+585
+586
+587
+588
+589
+590
+591
+592
+593
+594
+595
+596
+597
+598
+599
+600
+601
+602
+603
+604
+605
+606
+607
+608
+609
+610
+611
+612
+613
+614
+615
+616
+617
+618
+619
+620
+621
+622
+623
+624
+625
+626
+627
+628
+629
+630
+631
+632
+633
+634
+635
+636
+637
+638
+639
+640
+641
+642
+643
+644
+645
+646
+647
+648
+649
+650
+651
+652
+653
+654
+655
+656
+657
+658
+659
+660
+661
+662
+663
+664
+665
+666
+667
+668
+669
+670
+671
+672
+673
+674
+675
+676
+677
+678
+679
+680
+681
+682
+683
+684
+685
+686
+687
+688
+689
+690
+691
+692
+693
+694
+695
+696
+697
+698
+699
+700
+701
+702
+703
+704
+705
+706
+707
+708
+709
+710
+711
+712
+713
+714
+715
+716
+717
+718
+719
+720
+721
+722
+723
+724
+725
+726
+727
+728
+729
+730
+731
+732
+733
+734
+735
+736
+737
+738
+739
+740
+741
+742
+743
+744
+745
+746
+747
+748
+749
+750
+751
+752
+753
+754
+755
+756
+757
+758
+759
+760
+761
+762
+763
+764
+765
+766
+767
+768
+769
+770
+771
+772
+773
+774
+775
+776
+777
+778
+779
+780
+781
+782
+783
+784
+785
+786
+787
+788
+789
+790
+791
+792
+793
+794
+795
+796
+797
+798
+799
+800
+801
+802
+803
+804
+805
+806
+807
+808
+809
+810
+811
+812
+813
+814
+815
+816
+817
+818
+819
+820
+821
+822
+823
+824
+825
+826
+827
+828
+829
+830
+831
+832
+833
+834
+835
+836
+837
+838
+839
+840
+841
+842
+843
+844
+845
+846
+847
+848
+849
+850
+851
+852
+853
+854
+855
+856
+857
+858
+859
+860
+861
+862
+863
+864
+865
+866
+867
+868
+869
+870
+871
+872
+873
+874
+875
+876
+877
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Concurrent defines all concurrent statements used in entities, architectures, generates and block statements.
+"""
+from typing                  import List, Dict, Union, Iterable, Generator, Optional as Nullable
+
+from pyTooling.Decorators    import export, readonly
+from pyTooling.MetaClasses   import ExtendedType
+
+from pyVHDLModel.Base        import ModelEntity, LabeledEntityMixin, DocumentedEntityMixin, Range, BaseChoice, BaseCase, IfBranchMixin
+from pyVHDLModel.Base        import ElsifBranchMixin, ElseBranchMixin, AssertStatementMixin, BlockStatementMixin, WaveformElement
+from pyVHDLModel.Regions     import ConcurrentDeclarationRegionMixin
+from pyVHDLModel.Namespace   import Namespace
+from pyVHDLModel.Name        import Name
+from pyVHDLModel.Symbol      import ComponentInstantiationSymbol, EntityInstantiationSymbol, ArchitectureSymbol, ConfigurationInstantiationSymbol
+from pyVHDLModel.Expression  import BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal
+from pyVHDLModel.Association import AssociationItem, ParameterAssociationItem
+from pyVHDLModel.Interface   import PortInterfaceItemMixin
+from pyVHDLModel.Common      import Statement, ProcedureCallMixin, SignalAssignmentMixin
+from pyVHDLModel.Sequential  import SequentialStatement, SequentialStatementsMixin, SequentialDeclarationsMixin
+
+
+ExpressionUnion = Union[
+	BaseExpression,
+	QualifiedExpression,
+	FunctionCall,
+	TypeConversion,
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	Literal,
+]
+
+
+@export
+class ConcurrentStatement(Statement):
+	"""A base-class for all concurrent statements."""
+
+
+@export
+class ConcurrentStatementsMixin(metaclass=ExtendedType, mixin=True):
+	"""
+	A mixin-class for all language constructs supporting concurrent statements.
+
+	.. seealso::
+
+	   .. todo:: concurrent declaration region
+	"""
+
+	_statements:     List[ConcurrentStatement]
+
+	_instantiations: Dict[str, 'Instantiation']  # TODO: add another instantiation class level for entity/configuration/component inst.
+	_blocks:         Dict[str, 'ConcurrentBlockStatement']
+	_generates:      Dict[str, 'GenerateStatement']
+	_hierarchy:      Dict[str, Union['ConcurrentBlockStatement', 'GenerateStatement']]
+
+	def __init__(self, statements: Nullable[Iterable[ConcurrentStatement]] = None) -> None:
+		self._statements = []
+
+		self._instantiations = {}
+		self._blocks = {}
+		self._generates = {}
+		self._hierarchy = {}
+
+		if statements is not None:
+			for statement in statements:
+				self._statements.append(statement)
+				statement._parent = self
+
+	@readonly
+	def Statements(self) -> List[ConcurrentStatement]:
+		return self._statements
+
+	def IterateInstantiations(self) -> Generator['Instantiation', None, None]:
+		for instance in self._instantiations.values():
+			yield instance
+
+		for block in self._blocks.values():
+			yield from block.IterateInstantiations()
+
+		for generate in self._generates.values():
+			yield from generate.IterateInstantiations()
+
+	# TODO: move into _init__
+	def IndexStatements(self) -> None:
+		for statement in self._statements:
+			if isinstance(statement, (EntityInstantiation, ComponentInstantiation, ConfigurationInstantiation)):
+				self._instantiations[statement.NormalizedLabel] = statement
+			elif isinstance(statement, (ForGenerateStatement, IfGenerateStatement, CaseGenerateStatement)):
+				self._generates[statement.NormalizedLabel] = statement
+				statement.IndexStatement()
+			elif isinstance(statement, ConcurrentBlockStatement):
+				self._hierarchy[statement.NormalizedLabel] = statement
+				statement.IndexStatements()
+
+
+@export
+class Instantiation(ConcurrentStatement):
+	"""
+	A base-class for all (component) instantiations.
+	"""
+
+	_genericAssociations: List[AssociationItem]
+	_portAssociations: List[AssociationItem]
+
+	def __init__(
+		self,
+		label: str,
+		genericAssociations: Nullable[Iterable[AssociationItem]] = None,
+		portAssociations: Nullable[Iterable[AssociationItem]] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+
+		# TODO: extract to mixin
+		self._genericAssociations = []
+		if genericAssociations is not None:
+			for association in genericAssociations:
+				self._genericAssociations.append(association)
+				association._parent = self
+
+		# TODO: extract to mixin
+		self._portAssociations = []
+		if portAssociations is not None:
+			for association in portAssociations:
+				self._portAssociations.append(association)
+				association._parent = self
+
+	@readonly
+	def GenericAssociations(self) -> List[AssociationItem]:
+		return self._genericAssociations
+
+	@property
+	def PortAssociations(self) -> List[AssociationItem]:
+		return self._portAssociations
+
+
+@export
+class ComponentInstantiation(Instantiation):
+	"""
+	Represents a component instantiation by referring to a component name.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      inst : component Counter;
+	"""
+
+	_component: ComponentInstantiationSymbol
+
+	def __init__(
+		self,
+		label: str,
+		componentSymbol: ComponentInstantiationSymbol,
+		genericAssociations: Nullable[Iterable[AssociationItem]] = None,
+		portAssociations: Nullable[Iterable[AssociationItem]] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, genericAssociations, portAssociations, parent)
+
+		self._component = componentSymbol
+		componentSymbol._parent = self
+
+	@property
+	def Component(self) -> ComponentInstantiationSymbol:
+		return self._component
+
+
+@export
+class EntityInstantiation(Instantiation):
+	"""
+	Represents an entity instantiation by referring to an entity name with optional architecture name.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      inst : entity work. Counter;
+	"""
+
+	_entity: EntityInstantiationSymbol
+	_architecture: ArchitectureSymbol
+
+	def __init__(
+		self,
+		label: str,
+		entitySymbol: EntityInstantiationSymbol,
+		architectureSymbol: Nullable[ArchitectureSymbol] = None,
+		genericAssociations: Nullable[Iterable[AssociationItem]] = None,
+		portAssociations: Nullable[Iterable[AssociationItem]] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, genericAssociations, portAssociations, parent)
+
+		self._entity = entitySymbol
+		entitySymbol._parent = self
+
+		self._architecture = architectureSymbol
+		if architectureSymbol is not None:
+			architectureSymbol._parent = self
+
+	@property
+	def Entity(self) -> EntityInstantiationSymbol:
+		return self._entity
+
+	@property
+	def Architecture(self) -> ArchitectureSymbol:
+		return self._architecture
+
+
+@export
+class ConfigurationInstantiation(Instantiation):
+	"""
+	Represents a configuration instantiation by referring to a configuration name.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      inst : configuration Counter;
+	"""
+
+	_configuration: ConfigurationInstantiationSymbol
+
+	def __init__(
+		self,
+		label: str,
+		configurationSymbol: ConfigurationInstantiationSymbol,
+		genericAssociations: Nullable[Iterable[AssociationItem]] = None,
+		portAssociations: Nullable[Iterable[AssociationItem]] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, genericAssociations, portAssociations, parent)
+
+		self._configuration = configurationSymbol
+		configurationSymbol._parent = self
+
+	@property
+	def Configuration(self) -> ConfigurationInstantiationSymbol:
+		return self._configuration
+
+
+@export
+class ProcessStatement(ConcurrentStatement, SequentialDeclarationsMixin, SequentialStatementsMixin, DocumentedEntityMixin):
+	"""
+	Represents a process statement with sensitivity list, sequential declaration region and sequential statements.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      proc: process(Clock)
+	        -- sequential declarations
+	      begin
+	        -- sequential statements
+	      end process;
+	"""
+
+	_sensitivityList: List[Name]  # TODO: implement a SignalSymbol
+
+	def __init__(
+		self,
+		label: Nullable[str] = None,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[SequentialStatement]] = None,
+		sensitivityList: Nullable[Iterable[Name]] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+		SequentialDeclarationsMixin.__init__(self, declaredItems)
+		SequentialStatementsMixin.__init__(self, statements)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		if sensitivityList is None:
+			self._sensitivityList = None
+		else:
+			self._sensitivityList = []  # TODO: convert to dict
+			for signalSymbol in sensitivityList:
+				self._sensitivityList.append(signalSymbol)
+				# signalSymbol._parent = self  # FIXME: currently str are provided
+
+	@property
+	def SensitivityList(self) -> List[Name]:
+		return self._sensitivityList
+
+
+@export
+class ConcurrentProcedureCall(ConcurrentStatement, ProcedureCallMixin):
+	def __init__(
+		self,
+		label: str,
+		procedureName: Name,
+		parameterMappings: Nullable[Iterable[ParameterAssociationItem]] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+		ProcedureCallMixin.__init__(self, procedureName, parameterMappings)
+
+
+@export
+class ConcurrentBlockStatement(ConcurrentStatement, BlockStatementMixin, LabeledEntityMixin, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin, DocumentedEntityMixin):
+	_portItems:     List[PortInterfaceItemMixin]
+
+	def __init__(
+		self,
+		label: str,
+		portItems: Nullable[Iterable[PortInterfaceItemMixin]] = None,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Iterable['ConcurrentStatement'] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+		BlockStatementMixin.__init__(self)
+		LabeledEntityMixin.__init__(self, label)
+		ConcurrentDeclarationRegionMixin.__init__(self, declaredItems)
+		ConcurrentStatementsMixin.__init__(self, statements)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		# TODO: extract to mixin
+		self._portItems = []
+		if portItems is not None:
+			for item in portItems:
+				self._portItems.append(item)
+				item._parent = self
+
+	@property
+	def PortItems(self) -> List[PortInterfaceItemMixin]:
+		return self._portItems
+
+
+@export
+class GenerateBranch(ModelEntity, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin):
+	"""
+	A base-class for all branches in a generate statements.
+
+	.. seealso::
+
+	   * :class:`If-generate branch <pyVHDLModel.Concurrent.IfGenerateBranch>`
+	   * :class:`Elsif-generate branch <pyVHDLModel.Concurrent.ElsifGenerateBranch>`
+	   * :class:`Else-generate branch <pyVHDLModel.Concurrent.ElseGenerateBranch>`
+	"""
+
+	_alternativeLabel:           Nullable[str]
+	_normalizedAlternativeLabel: Nullable[str]
+
+	_namespace:                  Namespace
+
+	def __init__(
+		self,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[ConcurrentStatement]] = None,
+		alternativeLabel: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(parent)
+		ConcurrentDeclarationRegionMixin.__init__(self, declaredItems)
+		ConcurrentStatementsMixin.__init__(self, statements)
+
+		self._alternativeLabel = alternativeLabel
+		self._normalizedAlternativeLabel = alternativeLabel.lower() if alternativeLabel is not None else None
+
+		self._namespace = Namespace(self._normalizedAlternativeLabel)
+
+	@property
+	def AlternativeLabel(self) -> Nullable[str]:
+		return self._alternativeLabel
+
+	@property
+	def NormalizedAlternativeLabel(self) -> Nullable[str]:
+		return self._normalizedAlternativeLabel
+
+
+@export
+class IfGenerateBranch(GenerateBranch, IfBranchMixin):
+	"""
+	Represents if-generate branch in a generate statement with a concurrent declaration region and concurrent statements.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      gen: if condition generate
+	        -- concurrent declarations
+	      begin
+	        -- concurrent statements
+	      elsif condition generate
+	        -- ...
+	      else generate
+	        -- ...
+	      end generate;
+	"""
+
+	def __init__(
+		self,
+		condition: ExpressionUnion,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[ConcurrentStatement]] = None,
+		alternativeLabel: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(declaredItems, statements, alternativeLabel, parent)
+		IfBranchMixin.__init__(self, condition)
+
+
+@export
+class ElsifGenerateBranch(GenerateBranch, ElsifBranchMixin):
+	"""
+	Represents elsif-generate branch in a generate statement with a concurrent declaration region and concurrent statements.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      gen: if condition generate
+	        -- ...
+	      elsif condition generate
+	        -- concurrent declarations
+	      begin
+	        -- concurrent statements
+	      else generate
+	        -- ...
+	      end generate;
+	"""
+
+	def __init__(
+		self,
+		condition: ExpressionUnion,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[ConcurrentStatement]] = None,
+		alternativeLabel: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(declaredItems, statements, alternativeLabel, parent)
+		ElsifBranchMixin.__init__(self, condition)
+
+
+@export
+class ElseGenerateBranch(GenerateBranch, ElseBranchMixin):
+	"""
+	Represents else-generate branch in a generate statement with a concurrent declaration region and concurrent statements.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      gen: if condition generate
+	        -- ...
+	      elsif condition generate
+	        -- ...
+	      else generate
+	        -- concurrent declarations
+	      begin
+	        -- concurrent statements
+	      end generate;
+	"""
+
+	def __init__(
+		self,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[ConcurrentStatement]] = None,
+		alternativeLabel: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(declaredItems, statements, alternativeLabel, parent)
+		ElseBranchMixin.__init__(self)
+
+
+@export
+class GenerateStatement(ConcurrentStatement):
+	"""
+	A base-class for all generate statements.
+
+	.. seealso::
+
+	   * :class:`If...generate statement <pyVHDLModel.Concurrent.IfGenerateStatement>`
+	   * :class:`Case...generate statement <pyVHDLModel.Concurrent.CaseGenerateStatement>`
+	   * :class:`For...generate statement <pyVHDLModel.Concurrent.ForGenerateStatement>`
+	"""
+
+	_namespace: Namespace
+
+	def __init__(
+		self,
+		label: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+
+		self._namespace = Namespace(self._normalizedLabel)
+
+	# @mustoverride
+	def IterateInstantiations(self) -> Generator[Instantiation, None, None]:
+		raise NotImplementedError()
+
+	# @mustoverride
+	def IndexStatement(self) -> None:
+		raise NotImplementedError()
+
+
+@export
+class IfGenerateStatement(GenerateStatement):
+	"""
+	Represents an if...generate statement.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      gen: if condition generate
+	        -- ...
+	      elsif condition generate
+	        -- ...
+	      else generate
+	        -- ...
+	      end generate;
+
+	.. seealso::
+
+	   * :class:`Generate branch <pyVHDLModel.Concurrent.GenerateBranch>` base-class
+	   * :class:`If-generate branch <pyVHDLModel.Concurrent.IfGenerateBranch>`
+	   * :class:`Elsif-generate branch <pyVHDLModel.Concurrent.ElsifGenerateBranch>`
+	   * :class:`Else-generate branch <pyVHDLModel.Concurrent.ElseGenerateBranch>`
+	"""
+
+	_ifBranch:      IfGenerateBranch
+	_elsifBranches: List[ElsifGenerateBranch]
+	_elseBranch:    Nullable[ElseGenerateBranch]
+
+	def __init__(
+		self,
+		label: str,
+		ifBranch: IfGenerateBranch,
+		elsifBranches: Nullable[Iterable[ElsifGenerateBranch]] = None,
+		elseBranch: Nullable[ElseGenerateBranch] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+
+		self._ifBranch = ifBranch
+		ifBranch._parent = self
+
+		self._elsifBranches = []
+		if elsifBranches is not None:
+			for branch in elsifBranches:
+				self._elsifBranches.append(branch)
+				branch._parent = self
+
+		if elseBranch is not None:
+			self._elseBranch = elseBranch
+			elseBranch._parent = self
+		else:
+			self._elseBranch = None
+
+	@property
+	def IfBranch(self) -> IfGenerateBranch:
+		return self._ifBranch
+
+	@property
+	def ElsifBranches(self) -> List[ElsifGenerateBranch]:
+		return self._elsifBranches
+
+	@property
+	def ElseBranch(self) -> Nullable[ElseGenerateBranch]:
+		return self._elseBranch
+
+	def IterateInstantiations(self) -> Generator[Instantiation, None, None]:
+		yield from self._ifBranch.IterateInstantiations()
+		for branch in self._elsifBranches:
+			yield from branch.IterateInstantiations()
+		if self._elseBranch is not None:
+			yield from self._ifBranch.IterateInstantiations()
+
+	def IndexStatement(self) -> None:
+		self._ifBranch.IndexStatements()
+		for branch in self._elsifBranches:
+			branch.IndexStatements()
+		if self._elseBranch is not None:
+			self._elseBranch.IndexStatements()
+
+
+@export
+class ConcurrentChoice(BaseChoice):
+	"""A base-class for all concurrent choices (in case...generate statements)."""
+
+
+@export
+class IndexedGenerateChoice(ConcurrentChoice):
+	_expression: ExpressionUnion
+
+	def __init__(self, expression: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._expression = expression
+		expression._parent = self
+
+	@property
+	def Expression(self) -> ExpressionUnion:
+		return self._expression
+
+	def __str__(self) -> str:
+		return str(self._expression)
+
+
+@export
+class RangedGenerateChoice(ConcurrentChoice):
+	_range: 'Range'
+
+	def __init__(self, rng: 'Range', parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._range = rng
+		rng._parent = self
+
+	@property
+	def Range(self) -> 'Range':
+		return self._range
+
+	def __str__(self) -> str:
+		return str(self._range)
+
+
+@export
+class ConcurrentCase(BaseCase, LabeledEntityMixin, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin):
+	def __init__(
+		self,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[ConcurrentStatement]] = None,
+		alternativeLabel: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(parent)
+		LabeledEntityMixin.__init__(self, alternativeLabel)
+		ConcurrentDeclarationRegionMixin.__init__(self, declaredItems)
+		ConcurrentStatementsMixin.__init__(self, statements)
+
+
+@export
+class GenerateCase(ConcurrentCase):
+	_choices: List[ConcurrentChoice]
+
+	def __init__(
+		self,
+		choices: Iterable[ConcurrentChoice],
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[ConcurrentStatement]] = None,
+		alternativeLabel: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(declaredItems, statements, alternativeLabel, parent)
+
+		# TODO: move to parent or grandparent
+		self._choices = []
+		if choices is not None:
+			for choice in choices:
+				self._choices.append(choice)
+				choice._parent = self
+
+	# TODO: move to parent or grandparent
+	@property
+	def Choices(self) -> List[ConcurrentChoice]:
+		return self._choices
+
+	def __str__(self) -> str:
+		return "when {choices} =>".format(choices=" | ".join(str(c) for c in self._choices))
+
+
+@export
+class OthersGenerateCase(ConcurrentCase):
+	def __str__(self) -> str:
+		return "when others =>"
+
+
+@export
+class CaseGenerateStatement(GenerateStatement):
+	"""
+	Represents a case...generate statement.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      gen: case selector generate
+	        case choice1 =>
+	          -- ...
+	        case choice2 =>
+	          -- ...
+	        case others =>
+	          -- ...
+	      end generate;
+	"""
+
+	_expression: ExpressionUnion
+	_cases:      List[GenerateCase]
+
+	def __init__(
+		self,
+		label: str,
+		expression: ExpressionUnion,
+		cases: Iterable[ConcurrentCase],
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+
+		self._expression = expression
+		expression._parent = self
+
+		# TODO: create a mixin for things with cases
+		self._cases = []
+		if cases is not None:
+			for case in cases:
+				self._cases.append(case)
+				case._parent = self
+
+	@property
+	def SelectExpression(self) -> ExpressionUnion:
+		return self._expression
+
+	@property
+	def Cases(self) -> List[GenerateCase]:
+		return self._cases
+
+	def IterateInstantiations(self) -> Generator[Instantiation, None, None]:
+		for case in self._cases:
+			yield from case.IterateInstantiations()
+
+	def IndexStatement(self) -> None:
+		for case in self._cases:
+			case.IndexStatements()
+
+
+@export
+class ForGenerateStatement(GenerateStatement, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin):
+	"""
+	Represents a for...generate statement.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      gen: for i in 0 to 3 generate
+	        -- ...
+	      end generate;
+	"""
+
+	_loopIndex: str
+	_range:     Range
+
+	def __init__(
+		self,
+		label: str,
+		loopIndex: str,
+		rng: Range,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[ConcurrentStatement]] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+		ConcurrentDeclarationRegionMixin.__init__(self, declaredItems)
+		ConcurrentStatementsMixin.__init__(self, statements)
+
+		self._loopIndex = loopIndex
+
+		self._range = rng
+		rng._parent = self
+
+	@property
+	def LoopIndex(self) -> str:
+		return self._loopIndex
+
+	@property
+	def Range(self) -> Range:
+		return self._range
+
+	# IndexDeclaredItems = ConcurrentStatements.IndexDeclaredItems
+
+	def IndexStatement(self) -> None:
+		self.IndexStatements()
+
+	def IndexStatements(self) -> None:
+		super().IndexStatements()
+
+	def IterateInstantiations(self) -> Generator[Instantiation, None, None]:
+		return ConcurrentStatementsMixin.IterateInstantiations(self)
+
+
+@export
+class ConcurrentSignalAssignment(ConcurrentStatement, SignalAssignmentMixin):
+	"""
+	A base-class for concurrent signal assignments.
+
+	.. seealso::
+
+	   * :class:`~pyVHDLModel.Concurrent.ConcurrentSimpleSignalAssignment`
+	   * :class:`~pyVHDLModel.Concurrent.ConcurrentSelectedSignalAssignment`
+	   * :class:`~pyVHDLModel.Concurrent.ConcurrentConditionalSignalAssignment`
+	"""
+	def __init__(self, label: str, target: Name, parent: ModelEntity = None) -> None:
+		super().__init__(label, parent)
+		SignalAssignmentMixin.__init__(self, target)
+
+
+@export
+class ConcurrentSimpleSignalAssignment(ConcurrentSignalAssignment):
+	_waveform: List[WaveformElement]
+
+	def __init__(self, label: str, target: Name, waveform: Iterable[WaveformElement], parent: ModelEntity = None) -> None:
+		super().__init__(label, target, parent)
+
+		# TODO: extract to mixin
+		self._waveform = []
+		if waveform is not None:
+			for waveformElement in waveform:
+				self._waveform.append(waveformElement)
+				waveformElement._parent = self
+
+	@property
+	def Waveform(self) -> List[WaveformElement]:
+		return self._waveform
+
+
+@export
+class ConcurrentSelectedSignalAssignment(ConcurrentSignalAssignment):
+	def __init__(self, label: str, target: Name, expression: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(label, target, parent)
+
+
+@export
+class ConcurrentConditionalSignalAssignment(ConcurrentSignalAssignment):
+	def __init__(self, label: str, target: Name, expression: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(label, target, parent)
+
+
+@export
+class ConcurrentAssertStatement(ConcurrentStatement, AssertStatementMixin):
+	def __init__(
+		self,
+		condition: ExpressionUnion,
+		message: ExpressionUnion,
+		severity: Nullable[ExpressionUnion] = None,
+		label: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+		AssertStatementMixin.__init__(self, condition, message, severity)
+
+ + diff --git a/typing/html/pyVHDLModel/Declaration.py.html b/typing/html/pyVHDLModel/Declaration.py.html new file mode 100644 index 000000000..0d404c3a2 --- /dev/null +++ b/typing/html/pyVHDLModel/Declaration.py.html @@ -0,0 +1,407 @@ + + + + + + +

pyVHDLModel.Declaration

+ + + + + + +
pyVHDLModel/Declaration.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+
+"""
+from enum                   import unique, Enum
+from typing                 import List, Iterable, Union, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin, DocumentedEntityMixin
+from pyVHDLModel.Expression import BaseExpression, QualifiedExpression, FunctionCall, TypeConversion, Literal
+from pyVHDLModel.Name       import Name
+from pyVHDLModel.Symbol     import Symbol
+
+
+
+ExpressionUnion = Union[
+	BaseExpression,
+	QualifiedExpression,
+	FunctionCall,
+	TypeConversion,
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	Literal,
+]
+
+
+@export
+@unique
+class EntityClass(Enum):
+	"""An ``EntityClass`` is an enumeration. It represents a VHDL language entity class (``entity``, ``label``, ...)."""
+
+	Entity =        0   #: Entity
+	Architecture =  1   #: Architecture
+	Configuration = 2   #: Configuration
+	Procedure =     3   #: Procedure
+	Function =      4   #: Function
+	Package =       5   #: Package
+	Type =          6   #: Type
+	Subtype =       7   #: Subtype
+	Constant =      8   #: Constant
+	Signal =        9   #: Signal
+	Variable =      10  #: Variable
+	Component =     11  #: Component
+	Label =         12  #: Label
+	Literal =       13  #: Literal
+	Units =         14  #: Units
+	Group =         15  #: Group
+	File =          16  #: File
+	Property =      17  #: Property
+	Sequence =      18  #: Sequence
+	View =          19  #: View
+	Others =        20  #: Others
+
+
+@export
+class Attribute(ModelEntity, NamedEntityMixin, DocumentedEntityMixin):
+	"""
+	Represents an attribute declaration.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      attribute TotalBits : natural;
+	"""
+
+	_subtype: Symbol
+
+	def __init__(
+		self,
+		identifier: str,
+		subtype: Symbol,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(parent)
+		NamedEntityMixin.__init__(self, identifier)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		self._subtype = subtype
+		subtype._parent = self
+
+	@readonly
+	def Subtype(self) -> None:
+		return self._subtype
+
+
+@export
+class AttributeSpecification(ModelEntity, DocumentedEntityMixin):
+	"""
+	Represents an attribute specification.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      attribute TotalBits of BusType : subtype is 32;
+	"""
+
+	_identifiers: List[Name]
+	_attribute: Name
+	_entityClass: EntityClass
+	_expression: ExpressionUnion
+
+	def __init__(
+		self,
+		identifiers: Iterable[Name],
+		attribute: Name,
+		entityClass: EntityClass,
+		expression: ExpressionUnion,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(parent)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		self._identifiers = []  # TODO: convert to dict
+		for identifier in identifiers:
+			self._identifiers.append(identifier)
+			identifier._parent = self
+
+		self._attribute = attribute
+		attribute._parent = self
+
+		self._entityClass = entityClass
+
+		self._expression = expression
+		expression._parent = self
+
+	@readonly
+	def Identifiers(self) -> List[Name]:
+		return self._identifiers
+
+	@readonly
+	def Attribute(self) -> Name:
+		return self._attribute
+
+	@readonly
+	def EntityClass(self) -> EntityClass:
+		return self._entityClass
+
+	@readonly
+	def Expression(self) -> ExpressionUnion:
+		return self._expression
+
+
+# TODO: move somewhere else
+@export
+class Alias(ModelEntity, NamedEntityMixin, DocumentedEntityMixin):
+	def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		"""
+		Initializes underlying ``BaseType``.
+
+		:param identifier: Name of the type.
+		"""
+		super().__init__(parent)
+		NamedEntityMixin.__init__(self, identifier)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+ + diff --git a/typing/html/pyVHDLModel/DesignUnit.py.html b/typing/html/pyVHDLModel/DesignUnit.py.html new file mode 100644 index 000000000..f68566813 --- /dev/null +++ b/typing/html/pyVHDLModel/DesignUnit.py.html @@ -0,0 +1,1647 @@ + + + + + + +

pyVHDLModel.DesignUnit

+ + + + + + +
pyVHDLModel/DesignUnit.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+402
+403
+404
+405
+406
+407
+408
+409
+410
+411
+412
+413
+414
+415
+416
+417
+418
+419
+420
+421
+422
+423
+424
+425
+426
+427
+428
+429
+430
+431
+432
+433
+434
+435
+436
+437
+438
+439
+440
+441
+442
+443
+444
+445
+446
+447
+448
+449
+450
+451
+452
+453
+454
+455
+456
+457
+458
+459
+460
+461
+462
+463
+464
+465
+466
+467
+468
+469
+470
+471
+472
+473
+474
+475
+476
+477
+478
+479
+480
+481
+482
+483
+484
+485
+486
+487
+488
+489
+490
+491
+492
+493
+494
+495
+496
+497
+498
+499
+500
+501
+502
+503
+504
+505
+506
+507
+508
+509
+510
+511
+512
+513
+514
+515
+516
+517
+518
+519
+520
+521
+522
+523
+524
+525
+526
+527
+528
+529
+530
+531
+532
+533
+534
+535
+536
+537
+538
+539
+540
+541
+542
+543
+544
+545
+546
+547
+548
+549
+550
+551
+552
+553
+554
+555
+556
+557
+558
+559
+560
+561
+562
+563
+564
+565
+566
+567
+568
+569
+570
+571
+572
+573
+574
+575
+576
+577
+578
+579
+580
+581
+582
+583
+584
+585
+586
+587
+588
+589
+590
+591
+592
+593
+594
+595
+596
+597
+598
+599
+600
+601
+602
+603
+604
+605
+606
+607
+608
+609
+610
+611
+612
+613
+614
+615
+616
+617
+618
+619
+620
+621
+622
+623
+624
+625
+626
+627
+628
+629
+630
+631
+632
+633
+634
+635
+636
+637
+638
+639
+640
+641
+642
+643
+644
+645
+646
+647
+648
+649
+650
+651
+652
+653
+654
+655
+656
+657
+658
+659
+660
+661
+662
+663
+664
+665
+666
+667
+668
+669
+670
+671
+672
+673
+674
+675
+676
+677
+678
+679
+680
+681
+682
+683
+684
+685
+686
+687
+688
+689
+690
+691
+692
+693
+694
+695
+696
+697
+698
+699
+700
+701
+702
+703
+704
+705
+706
+707
+708
+709
+710
+711
+712
+713
+714
+715
+716
+717
+718
+719
+720
+721
+722
+723
+724
+725
+726
+727
+728
+729
+730
+731
+732
+733
+734
+735
+736
+737
+738
+739
+740
+741
+742
+743
+744
+745
+746
+747
+748
+749
+750
+751
+752
+753
+754
+755
+756
+757
+758
+759
+760
+761
+762
+763
+764
+765
+766
+767
+768
+769
+770
+771
+772
+773
+774
+775
+776
+777
+778
+779
+780
+781
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Design units are contexts, entities, architectures, packages and their bodies as well as configurations.
+"""
+from typing import List, Dict, Union, Iterable, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+from pyTooling.Graph        import Vertex
+
+from pyVHDLModel.Exception  import VHDLModelException
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin, DocumentedEntityMixin
+from pyVHDLModel.Namespace  import Namespace
+from pyVHDLModel.Regions    import ConcurrentDeclarationRegionMixin
+from pyVHDLModel.Symbol     import Symbol, PackageSymbol, EntitySymbol, LibraryReferenceSymbol
+from pyVHDLModel.Interface  import GenericInterfaceItemMixin, PortInterfaceItemMixin
+from pyVHDLModel.Object     import DeferredConstant
+from pyVHDLModel.Concurrent import ConcurrentStatement, ConcurrentStatementsMixin
+
+
+@export
+class Reference(ModelEntity):
+	"""
+	A base-class for all references.
+
+	.. seealso::
+
+	   * :class:`~pyVHDLModel.DesignUnit.LibraryClause`
+	   * :class:`~pyVHDLModel.DesignUnit.UseClause`
+	   * :class:`~pyVHDLModel.DesignUnit.ContextReference`
+	"""
+
+	_symbols:       List[Symbol]
+
+	def __init__(self, symbols: Iterable[Symbol], parent: ModelEntity = None) -> None:
+		"""
+		Initializes a reference by taking a list of symbols and a parent reference.
+
+		:param symbols: A list of symbols this reference references to.
+		:param parent:  Reference to the logical parent in the model hierarchy.
+		"""
+		super().__init__(parent)
+
+		self._symbols = [s for s in symbols]
+
+	@readonly
+	def Symbols(self) -> List[Symbol]:
+		"""
+		Read-only property to access the symbols this reference references to (:attr:`_symbols`).
+
+		:returns: A list of symbols.
+		"""
+		return self._symbols
+
+
+@export
+class LibraryClause(Reference):
+	"""
+	Represents a library clause.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      library std, ieee;
+	"""
+
+	@readonly
+	def Symbols(self) -> List[LibraryReferenceSymbol]:
+		"""
+		Read-only property to access the symbols this library clause references to (:attr:`_symbols`).
+
+		:returns: A list of library reference symbols.
+		"""
+		return self._symbols
+
+
+@export
+class UseClause(Reference):
+	"""
+	Represents a use clause.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      use std.text_io.all, ieee.numeric_std.all;
+	"""
+
+
+@export
+class ContextReference(Reference):
+	"""
+	Represents a context reference.
+
+	.. hint:: It's called *context reference* not *context clause* by the LRM.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      context ieee.ieee_std_context;
+	"""
+
+
+ContextUnion = Union[
+	LibraryClause,
+	UseClause,
+	ContextReference
+]
+
+
+@export
+class DesignUnitWithContextMixin(metaclass=ExtendedType, mixin=True):
+	"""
+	A mixin-class for all design units with a context.
+	"""
+
+
+@export
+class DesignUnit(ModelEntity, NamedEntityMixin, DocumentedEntityMixin):
+	"""
+	A base-class for all design units.
+
+	.. seealso::
+
+	   * :class:`Primary design units <pyVHDLModel.DesignUnit.PrimaryUnit>`
+
+	     * :class:`~pyVHDLModel.DesignUnit.Context`
+	     * :class:`~pyVHDLModel.DesignUnit.Entity`
+	     * :class:`~pyVHDLModel.DesignUnit.Package`
+	     * :class:`~pyVHDLModel.DesignUnit.Configuration`
+
+	   * :class:`Secondary design units <pyVHDLModel.DesignUnit.SecondaryUnit>`
+
+	     * :class:`~pyVHDLModel.DesignUnit.Architecture`
+	     * :class:`~pyVHDLModel.DesignUnit.PackageBody`
+	"""
+
+	_document: 'Document'                                  #: The VHDL library, the design unit was analyzed into.
+
+	# Either written as statements before (e.g. entity, architecture, package, ...), or as statements inside (context)
+	_contextItems:        List['ContextUnion']             #: List of all context items (library, use and context clauses).
+	_libraryReferences:   List['LibraryClause']            #: List of library clauses.
+	_packageReferences:   List['UseClause']                #: List of use clauses.
+	_contextReferences:   List['ContextReference']         #: List of context clauses.
+
+	_referencedLibraries: Dict[str, 'Library']             #: Referenced libraries based on explicit library clauses or implicit inheritance
+	_referencedPackages:  Dict[str, Dict[str, 'Package']]  #: Referenced packages based on explicit use clauses or implicit inheritance
+	_referencedContexts:  Dict[str, 'Context']             #: Referenced contexts based on explicit context references or implicit inheritance
+
+	_dependencyVertex:    Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]  #: Reference to the vertex in the dependency graph representing the design unit. |br| This reference is set by :meth:`~pyVHDLModel.Design.CreateDependencyGraph`.
+	_hierarchyVertex:     Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]  #: The vertex in the hierarchy graph
+
+	_namespace:           'Namespace'
+
+	def __init__(self, identifier: str, contextItems: Nullable[Iterable[ContextUnion]] = None, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		"""
+		Initializes a design unit.
+
+		:param identifier:    Identifier (name) of the design unit.
+		:param contextItems:  A sequence of library, use or context clauses.
+		:param documentation: Associated documentation of the design unit.
+		:param parent:        Reference to the logical parent in the model hierarchy.
+		"""
+		super().__init__(parent)
+		NamedEntityMixin.__init__(self, identifier)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		self._document = None
+
+		self._contextItems = []
+		self._libraryReferences = []
+		self._packageReferences = []
+		self._contextReferences = []
+
+		if contextItems is not None:
+			for item in contextItems:
+				self._contextItems.append(item)
+				if isinstance(item, UseClause):
+					self._packageReferences.append(item)
+				elif isinstance(item, LibraryClause):
+					self._libraryReferences.append(item)
+				elif isinstance(item, ContextReference):
+					self._contextReferences.append(item)
+
+		self._referencedLibraries = {}
+		self._referencedPackages = {}
+		self._referencedContexts = {}
+
+		self._dependencyVertex = None
+		self._hierarchyVertex = None
+
+		self._namespace = Namespace(self._normalizedIdentifier)
+
+	@readonly
+	def Document(self) -> 'Document':
+		return self._document
+
+	@Document.setter
+	def Document(self, document: 'Document') -> None:
+		self._document = document
+
+	@property
+	def Library(self) -> 'Library':
+		return self._parent
+
+	@Library.setter
+	def Library(self, library: 'Library') -> None:
+		self._parent = library
+
+	@property
+	def ContextItems(self) -> List['ContextUnion']:
+		"""
+		Read-only property to access the sequence of all context items comprising library, use and context clauses
+		(:attr:`_contextItems`).
+
+		:returns: Sequence of context items.
+		"""
+		return self._contextItems
+
+	@property
+	def ContextReferences(self) -> List['ContextReference']:
+		"""
+		Read-only property to access the sequence of context clauses (:attr:`_contextReferences`).
+
+		:returns: Sequence of context clauses.
+		"""
+		return self._contextReferences
+
+	@property
+	def LibraryReferences(self) -> List['LibraryClause']:
+		"""
+		Read-only property to access the sequence of library clauses (:attr:`_libraryReferences`).
+
+		:returns: Sequence of library clauses.
+		"""
+		return self._libraryReferences
+
+	@property
+	def PackageReferences(self) -> List['UseClause']:
+		"""
+		Read-only property to access the sequence of use clauses (:attr:`_packageReferences`).
+
+		:returns: Sequence of use clauses.
+		"""
+		return self._packageReferences
+
+	@property
+	def ReferencedLibraries(self) -> Dict[str, 'Library']:
+		return self._referencedLibraries
+
+	@property
+	def ReferencedPackages(self) -> Dict[str, 'Package']:
+		return self._referencedPackages
+
+	@property
+	def ReferencedContexts(self) -> Dict[str, 'Context']:
+		return self._referencedContexts
+
+	@property
+	def DependencyVertex(self) -> Vertex:
+		"""
+		Read-only property to access the corresponding dependency vertex (:attr:`_dependencyVertex`).
+
+		The dependency vertex references this design unit by its value field.
+
+		:returns: The corresponding dependency vertex.
+		"""
+		return self._dependencyVertex
+
+	@property
+	def HierarchyVertex(self) -> Vertex:
+		"""
+		Read-only property to access the corresponding hierarchy vertex (:attr:`_hierarchyVertex`).
+
+		The hierarchy vertex references this design unit by its value field.
+
+		:returns: The corresponding hierarchy vertex.
+		"""
+		return self._hierarchyVertex
+
+
+@export
+class PrimaryUnit(DesignUnit):
+	"""
+	A base-class for all primary design units.
+
+	.. seealso::
+
+	   * :class:`~pyVHDLModel.DesignUnit.Context`
+	   * :class:`~pyVHDLModel.DesignUnit.Entity`
+	   * :class:`~pyVHDLModel.DesignUnit.Package`
+	   * :class:`~pyVHDLModel.DesignUnit.Configuration`
+	"""
+
+
+@export
+class SecondaryUnit(DesignUnit):
+	"""
+	A base-class for all secondary design units.
+
+	.. seealso::
+
+	   * :class:`~pyVHDLModel.DesignUnit.Architecture`
+	   * :class:`~pyVHDLModel.DesignUnit.PackageBody`
+	"""
+
+
+@export
+class Context(PrimaryUnit):
+	"""
+	Represents a context declaration.
+
+	A context contains a generic list of all its items (library clauses, use clauses and context references) in
+	:data:`_references`.
+
+	Furthermore, when a context gets initialized, the item kinds get separated into individual lists:
+
+	* :class:`~pyVHDLModel.DesignUnit.LibraryClause` |rarr| :data:`_libraryReferences`
+	* :class:`~pyVHDLModel.DesignUnit.UseClause` |rarr| :data:`_packageReferences`
+	* :class:`~pyVHDLModel.DesignUnit.ContextReference` |rarr| :data:`_contextReferences`
+
+	When :meth:`pyVHDLModel.Design.LinkContexts` got called, these lists were processed and the fields:
+
+	* :data:`_referencedLibraries` (:pycode:`Dict[libName, Library]`)
+	* :data:`_referencedPackages` (:pycode:`Dict[libName, [pkgName, Package]]`)
+	* :data:`_referencedContexts` (:pycode:`Dict[libName, [ctxName, Context]]`)
+
+	are populated.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      context ctx is
+	        -- ...
+	      end context;
+	"""
+
+	_references:        List[ContextUnion]
+
+	def __init__(self, identifier: str, references: Nullable[Iterable[ContextUnion]] = None, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, None, documentation, parent)
+
+		self._references = []
+		self._libraryReferences = []
+		self._packageReferences = []
+		self._contextReferences = []
+
+		if references is not None:
+			for reference in references:
+				self._references.append(reference)
+				reference._parent = self
+
+				if isinstance(reference, LibraryClause):
+					self._libraryReferences.append(reference)
+				elif isinstance(reference, UseClause):
+					self._packageReferences.append(reference)
+				elif isinstance(reference, ContextReference):
+					self._contextReferences.append(reference)
+				else:
+					raise VHDLModelException()  # FIXME: needs exception message
+
+	@property
+	def LibraryReferences(self) -> List[LibraryClause]:
+		return self._libraryReferences
+
+	@property
+	def PackageReferences(self) -> List[UseClause]:
+		return self._packageReferences
+
+	@property
+	def ContextReferences(self) -> List[ContextReference]:
+		return self._contextReferences
+
+	def __str__(self) -> str:
+		lib = self._parent._identifier + "?" if self._parent is not None else ""
+
+		return f"Context: {lib}.{self._identifier}"
+
+
+@export
+class Package(PrimaryUnit, DesignUnitWithContextMixin, ConcurrentDeclarationRegionMixin):
+	"""
+	Represents a package declaration.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      package pkg is
+	        -- ...
+	      end package;
+	"""
+
+	_packageBody:       Nullable["PackageBody"]
+
+	_genericItems:      List[GenericInterfaceItemMixin]
+
+	_deferredConstants: Dict[str, DeferredConstant]
+	_components:        Dict[str, 'Component']
+
+	def __init__(
+		self,
+		identifier: str,
+		contextItems: Nullable[Iterable[ContextUnion]] = None,
+		genericItems: Nullable[Iterable[GenericInterfaceItemMixin]] = None,
+		declaredItems: Nullable[Iterable] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifier, contextItems, documentation, parent)
+		DesignUnitWithContextMixin.__init__(self)
+		ConcurrentDeclarationRegionMixin.__init__(self, declaredItems)
+
+		self._packageBody = None
+
+		# TODO: extract to mixin
+		self._genericItems = []  # TODO: convert to dict
+		if genericItems is not None:
+			for generic in genericItems:
+				self._genericItems.append(generic)
+				generic._parent = self
+
+		self._deferredConstants = {}
+		self._components = {}
+
+	@property
+	def PackageBody(self) -> Nullable["PackageBody"]:
+		return self._packageBody
+
+	@property
+	def GenericItems(self) -> List[GenericInterfaceItemMixin]:
+		return self._genericItems
+
+	@property
+	def DeclaredItems(self) -> List:
+		return self._declaredItems
+
+	@property
+	def DeferredConstants(self):
+		return self._deferredConstants
+
+	@property
+	def Components(self):
+		return self._components
+
+	def _IndexOtherDeclaredItem(self, item):
+		if isinstance(item, DeferredConstant):
+			for normalizedIdentifier in item.NormalizedIdentifiers:
+				self._deferredConstants[normalizedIdentifier] = item
+		elif isinstance(item, Component):
+			self._components[item.NormalizedIdentifier] = item
+		else:
+			super()._IndexOtherDeclaredItem(item)
+
+	def __str__(self) -> str:
+		lib = self._parent._identifier if self._parent is not None else "%"
+
+		return f"Package: '{lib}.{self._identifier}'"
+
+	def __repr__(self) -> str:
+		lib = self._parent._identifier if self._parent is not None else "%"
+
+		return f"{lib}.{self._identifier}"
+
+
+@export
+class PackageBody(SecondaryUnit, DesignUnitWithContextMixin, ConcurrentDeclarationRegionMixin):
+	"""
+	Represents a package body declaration.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      package body pkg is
+	        -- ...
+	      end package body;
+	"""
+
+	_package:       PackageSymbol
+
+	def __init__(
+		self,
+		packageSymbol: PackageSymbol,
+		contextItems: Nullable[Iterable[ContextUnion]] = None,
+		declaredItems: Nullable[Iterable] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(packageSymbol.Name.Identifier, contextItems, documentation, parent)
+		DesignUnitWithContextMixin.__init__(self)
+		ConcurrentDeclarationRegionMixin.__init__(self, declaredItems)
+
+		self._package = packageSymbol
+		packageSymbol._parent = self
+
+	@property
+	def Package(self) -> PackageSymbol:
+		return self._package
+
+	@property
+	def DeclaredItems(self) -> List:
+		return self._declaredItems
+
+	def LinkDeclaredItemsToPackage(self) -> None:
+		pass
+
+	def __str__(self) -> str:
+		lib = self._parent._identifier + "?" if self._parent is not None else ""
+
+		return f"Package Body: {lib}.{self._identifier}(body)"
+
+	def __repr__(self) -> str:
+		lib = self._parent._identifier + "?" if self._parent is not None else ""
+
+		return f"{lib}.{self._identifier}(body)"
+
+
+@export
+class Entity(PrimaryUnit, DesignUnitWithContextMixin, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin):
+	"""
+	Represents an entity declaration.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      entity ent is
+	        -- ...
+	      end entity;
+	"""
+
+	_genericItems:  List[GenericInterfaceItemMixin]
+	_portItems:     List[PortInterfaceItemMixin]
+
+	_architectures: Dict[str, 'Architecture']
+
+	def __init__(
+		self,
+		identifier: str,
+		contextItems: Nullable[Iterable[ContextUnion]] = None,
+		genericItems: Nullable[Iterable[GenericInterfaceItemMixin]] = None,
+		portItems: Nullable[Iterable[PortInterfaceItemMixin]] = None,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Nullable[Iterable[ConcurrentStatement]] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifier, contextItems, documentation, parent)
+		DesignUnitWithContextMixin.__init__(self)
+		ConcurrentDeclarationRegionMixin.__init__(self, declaredItems)
+		ConcurrentStatementsMixin.__init__(self, statements)
+
+		# TODO: extract to mixin
+		self._genericItems = []
+		if genericItems is not None:
+			for item in genericItems:
+				self._genericItems.append(item)
+				item._parent = self
+
+		# TODO: extract to mixin
+		self._portItems = []
+		if portItems is not None:
+			for item in portItems:
+				self._portItems.append(item)
+				item._parent = self
+
+		self._architectures = {}
+
+	# TODO: extract to mixin for generics
+	@property
+	def GenericItems(self) -> List[GenericInterfaceItemMixin]:
+		return self._genericItems
+
+	# TODO: extract to mixin for ports
+	@property
+	def PortItems(self) -> List[PortInterfaceItemMixin]:
+		return self._portItems
+
+	@property
+	def Architectures(self) -> Dict[str, 'Architecture']:
+		return self._architectures
+
+	def __str__(self) -> str:
+		lib = self._parent._identifier if self._parent is not None else "%"
+		archs = ', '.join(self._architectures.keys()) if self._architectures else "%"
+
+		return f"Entity: '{lib}.{self._identifier}({archs})'"
+
+	def __repr__(self) -> str:
+		lib = self._parent._identifier if self._parent is not None else "%"
+		archs = ', '.join(self._architectures.keys()) if self._architectures else "%"
+
+		return f"{lib}.{self._identifier}({archs})"
+
+
+@export
+class Architecture(SecondaryUnit, DesignUnitWithContextMixin, ConcurrentDeclarationRegionMixin, ConcurrentStatementsMixin):
+	"""
+	Represents an architecture declaration.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      architecture rtl of ent is
+	        -- ...
+	      begin
+	        -- ...
+	      end architecture;
+	"""
+
+	_entity: EntitySymbol
+
+	def __init__(
+		self,
+		identifier: str,
+		entity: EntitySymbol,
+		contextItems: Nullable[Iterable[Context]] = None,
+		declaredItems: Nullable[Iterable] = None,
+		statements: Iterable['ConcurrentStatement'] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifier, contextItems, documentation, parent)
+		DesignUnitWithContextMixin.__init__(self)
+		ConcurrentDeclarationRegionMixin.__init__(self, declaredItems)
+		ConcurrentStatementsMixin.__init__(self, statements)
+
+		self._entity = entity
+		entity._parent = self
+
+	@property
+	def Entity(self) -> EntitySymbol:
+		return self._entity
+
+	def __str__(self) -> str:
+		lib = self._parent._identifier if self._parent is not None else "%"
+		ent = self._entity._name._identifier if self._entity is not None else "%"
+
+		return f"Architecture: {lib}.{ent}({self._identifier})"
+
+	def __repr__(self) -> str:
+		lib = self._parent._identifier if self._parent is not None else "%"
+		ent = self._entity._name._identifier if self._entity is not None else "%"
+
+		return f"{lib}.{ent}({self._identifier})"
+
+
+@export
+class Component(ModelEntity, NamedEntityMixin, DocumentedEntityMixin):
+	"""
+	Represents a configuration declaration.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      component ent is
+	        -- ...
+	      end component;
+	"""
+
+	_genericItems:      List[GenericInterfaceItemMixin]
+	_portItems:         List[PortInterfaceItemMixin]
+
+	_entity:            Nullable[Entity]
+
+	def __init__(
+		self,
+		identifier: str,
+		genericItems: Nullable[Iterable[GenericInterfaceItemMixin]] = None,
+		portItems: Nullable[Iterable[PortInterfaceItemMixin]] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(parent)
+		NamedEntityMixin.__init__(self, identifier)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		# TODO: extract to mixin
+		self._genericItems = []
+		if genericItems is not None:
+			for item in genericItems:
+				self._genericItems.append(item)
+				item._parent = self
+
+		# TODO: extract to mixin
+		self._portItems = []
+		if portItems is not None:
+			for item in portItems:
+				self._portItems.append(item)
+				item._parent = self
+
+	@property
+	def GenericItems(self) -> List[GenericInterfaceItemMixin]:
+		return self._genericItems
+
+	@property
+	def PortItems(self) -> List[PortInterfaceItemMixin]:
+		return self._portItems
+
+	@property
+	def Entity(self) -> Nullable[Entity]:
+		return self._entity
+
+	@Entity.setter
+	def Entity(self, value: Entity) -> None:
+		self._entity = value
+
+
+@export
+class Configuration(PrimaryUnit, DesignUnitWithContextMixin):
+	"""
+	Represents a configuration declaration.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      configuration cfg of ent is
+	        for rtl
+	          -- ...
+	        end for;
+	      end configuration;
+	"""
+
+	def __init__(
+		self,
+		identifier: str,
+		contextItems: Nullable[Iterable[Context]] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifier, contextItems, documentation, parent)
+		DesignUnitWithContextMixin.__init__(self)
+
+	def __str__(self) -> str:
+		lib = self._parent._identifier if self._parent is not None else "%"
+
+		return f"Configuration: {lib}.{self._identifier}"
+
+	def __repr__(self) -> str:
+		lib = self._parent._identifier if self._parent is not None else "%"
+
+		return f"{lib}.{self._identifier}"
+
+ + diff --git a/typing/html/pyVHDLModel/Exception.py.html b/typing/html/pyVHDLModel/Exception.py.html new file mode 100644 index 000000000..419f332f3 --- /dev/null +++ b/typing/html/pyVHDLModel/Exception.py.html @@ -0,0 +1,757 @@ + + + + + + +

pyVHDLModel.Exception

+ + + + + + +
pyVHDLModel/Exception.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+The module ``Exceptions`` contains all structured errors that are raised by pyVHDLModel. Besides a default error
+message in english, each exception object contains one or multiple references to the exception's context.
+"""
+from sys    import version_info
+from typing import List
+
+from pyTooling.Decorators import export, readonly
+
+from pyVHDLModel.Symbol import Symbol
+
+
+@export
+class VHDLModelException(Exception):
+	"""Base-class for all exceptions (errors) raised by pyVHDLModel."""
+
+	# WORKAROUND: for Python <3.11
+	# Implementing a dummy method for Python versions before
+	__notes__: List[str]
+	if version_info < (3, 11):  # pragma: no cover
+		def add_note(self, message: str) -> None:
+			try:
+				self.__notes__.append(message)
+			except AttributeError:
+				self.__notes__ = [message]
+
+
+@export
+class LibraryExistsInDesignError(VHDLModelException):
+	"""
+	This exception is raised, when the library is already existing in the design.
+
+	Message: :pycode:`f"Library '{library._identifier}' already exists in design."`
+	"""
+
+	_library: 'Library'
+
+	def __init__(self, library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given library object.
+
+		:param library: The library that already exists in the design.
+		"""
+		super().__init__(f"Library '{library._identifier}' already exists in design.")
+		self._library = library
+
+	@readonly
+	def Library(self) -> 'Library':
+		"""
+		Read-only property to access the duplicate library (:attr:`_library`).
+
+		:returns: Duplicate library (by name).
+		"""
+		return self._library
+
+
+@export
+class LibraryRegisteredToForeignDesignError(VHDLModelException):
+	"""
+	This exception is raised, when the library is already registered to a foreign design.
+
+	Message: :pycode:`f"Library '{library._identifier}' already registered in design '{library.Parent}'."`
+	"""
+
+	_library: 'Library'
+
+	def __init__(self, library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given library object.
+
+		:param library: The library that is already registered to another design.
+		"""
+		super().__init__(f"Library '{library._identifier}' already registered in design '{library.Parent}'.")
+		self._library = library
+
+	@readonly
+	def Library(self) -> 'Library':
+		return self._library
+
+
+@export
+class LibraryNotRegisteredError(VHDLModelException):
+	"""
+	This exception is raised, when the library is not registered in the design.
+
+	Message: :pycode:`f"Library '{library._identifier}' is not registered in the design."`
+	"""
+
+	_library: 'Library'
+
+	def __init__(self, library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given library object.
+
+		:param library: The library that isn't registered in the design.
+		"""
+		super().__init__(f"Library '{library._identifier}' is not registered in the design.")
+		self._library = library
+
+	@readonly
+	def Library(self) -> 'Library':
+		return self._library
+
+
+@export
+class EntityExistsInLibraryError(VHDLModelException):
+	"""
+	This exception is raised, when the entity already existing in the library.
+
+	Message: :pycode:`f"Entity '{entity._identifier}' already exists in library '{library._identifier}'."`
+	"""
+
+	_library: 'Library'
+	_entity: 'Entity'
+
+	def __init__(self, entity: 'Entity', library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given entity and library objects.
+
+		:param entity:  The entity that already exists in the library.
+		:param library: The library that already contains the entity.
+		"""
+		super().__init__(f"Entity '{entity._identifier}' already exists in library '{library._identifier}'.")
+		self._library = library
+		self._entity = entity
+
+	@readonly
+	def Library(self) -> 'Library':
+		return self._library
+
+	@readonly
+	def Entity(self) -> 'Entity':
+		return self._entity
+
+
+@export
+class ArchitectureExistsInLibraryError(VHDLModelException):
+	"""
+	This exception is raised, when the architecture already existing in the library.
+
+	Message: :pycode:`f"Architecture '{architecture._identifier}' for entity '{entity._identifier}' already exists in library '{library._identifier}'."`
+	"""
+
+	_library: 'Library'
+	_entity: 'Entity'
+	_architecture: 'Architecture'
+
+	def __init__(self, architecture: 'Architecture', entity: 'Entity', library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given architecture, entity and library objects.
+
+		:param architecture: The architecture that already exists in the library.
+		:param entity:       The entity the architecture refers to, which already exists in the library.
+		:param library:      The library that already contains the architecture.
+		"""
+		super().__init__(f"Architecture '{architecture._identifier}' for entity '{entity._identifier}' already exists in library '{library._identifier}'.")
+		self._library = library
+		self._entity = entity
+		self._architecture = architecture
+
+	@readonly
+	def Library(self) -> 'Library':
+		return self._library
+
+	@readonly
+	def Entity(self) -> 'Entity':
+		return self._entity
+
+	@readonly
+	def Architecture(self) -> 'Architecture':
+		return self._architecture
+
+
+@export
+class PackageExistsInLibraryError(VHDLModelException):
+	"""
+	This exception is raised, when the package already existing in the library.
+
+	Message: :pycode:`f"Package '{package._identifier}' already exists in library '{library._identifier}'."`
+	"""
+
+	_library: 'Library'
+	_package: 'Package'
+
+	def __init__(self, package: 'Package', library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given package and library objects.
+
+		:param package: The package that already exists in the library.
+		:param library: The library that already contains the package.
+		"""
+		super().__init__(f"Package '{package._identifier}' already exists in library '{library._identifier}'.")
+		self._library = library
+		self._package = package
+
+	@readonly
+	def Library(self) -> 'Library':
+		return self._library
+
+	@readonly
+	def Package(self) -> 'Package':
+		return self._package
+
+
+@export
+class PackageBodyExistsError(VHDLModelException):
+	"""
+	This exception is raised, when the package body already existing in the library.
+
+	Message: :pycode:`f"Package body '{packageBody._identifier}' already exists in library '{library._identifier}'."`
+	"""
+
+	_library: 'Library'
+	_packageBody: 'PackageBody'
+
+	def __init__(self, packageBody: 'PackageBody', library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given package body and library objects.
+
+		:param packageBody: The package body that already exists in the library.
+		:param library:     The library that already contains the package body.
+		"""
+		super().__init__(f"Package body '{packageBody._identifier}' already exists in library '{library._identifier}'.")
+		self._library = library
+		self._packageBody = packageBody
+
+	@readonly
+	def Library(self) -> 'Library':
+		return self._library
+
+	@property
+	def PackageBody(self) -> 'PackageBody':
+		return self._packageBody
+
+
+@export
+class ConfigurationExistsInLibraryError(VHDLModelException):
+	"""
+	This exception is raised, when the configuration already existing in the library.
+
+	Message: :pycode:`f"Configuration '{configuration._identifier}' already exists in library '{library._identifier}'."`
+	"""
+
+	_library: 'Library'
+	_configuration: 'Configuration'
+
+	def __init__(self, configuration: 'Configuration', library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given configuration and library objects.
+
+		:param configuration: The configuration that already exists in the library.
+		:param library:       The library that already contains the configuration.
+		"""
+		super().__init__(f"Configuration '{configuration._identifier}' already exists in library '{library._identifier}'.")
+		self._library = library
+		self._configuration = configuration
+
+	@property
+	def Library(self) -> 'Library':
+		return self._library
+
+	@property
+	def Configuration(self) -> 'Configuration':
+		return self._configuration
+
+
+@export
+class ContextExistsInLibraryError(VHDLModelException):
+	"""
+	This exception is raised, when the context already existing in the library.
+
+	Message: :pycode:`f"Context '{context._identifier}' already exists in library '{library._identifier}'."`
+	"""
+
+	_library: 'Library'
+	_context: 'Context'
+
+	def __init__(self, context: 'Context', library: 'Library') -> None:
+		"""
+		Initializes the exception message based on given context and library objects.
+
+		:param context: The context that already exists in the library.
+		:param library: The library that already contains the context.
+		"""
+		super().__init__(f"Context '{context._identifier}' already exists in library '{library._identifier}'.")
+		self._library = library
+		self._context = context
+
+	@property
+	def Library(self) -> 'Library':
+		return self._library
+
+	@property
+	def Context(self) -> 'Context':
+		return self._context
+
+
+@export
+class ReferencedLibraryNotExistingError(VHDLModelException):
+	"""
+	This exception is raised, when a library is referenced by a `library clause`, but doesn't exist in the design.
+
+	Message: :pycode:`f"Library '{librarySymbol.Name._identifier}' referenced by library clause of context '{context._identifier}' doesn't exist in design."`
+	"""
+
+	_librarySymbol: Symbol
+	_context: 'Context'
+
+	def __init__(self, context: 'Context', librarySymbol: Symbol) -> None:
+		"""
+		Initializes the exception message based on given context and library objects.
+
+		:param context:       The context that already exists in the library.
+		:param librarySymbol: The library that already contains the context.
+		"""
+		super().__init__(f"Library '{librarySymbol.Name._identifier}' referenced by library clause of context '{context._identifier}' doesn't exist in design.")
+		self._librarySymbol = librarySymbol
+		self._context = context
+
+	@property
+	def LibrarySymbol(self) -> Symbol:
+		return self._librarySymbol
+
+	@property
+	def Context(self) -> 'Context':
+		return self._context
+
+ + diff --git a/typing/html/pyVHDLModel/Expression.py.html b/typing/html/pyVHDLModel/Expression.py.html new file mode 100644 index 000000000..7eee22308 --- /dev/null +++ b/typing/html/pyVHDLModel/Expression.py.html @@ -0,0 +1,1694 @@ + + + + + + +

pyVHDLModel.Expression

+ + + + + + +
pyVHDLModel/Expression.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+402
+403
+404
+405
+406
+407
+408
+409
+410
+411
+412
+413
+414
+415
+416
+417
+418
+419
+420
+421
+422
+423
+424
+425
+426
+427
+428
+429
+430
+431
+432
+433
+434
+435
+436
+437
+438
+439
+440
+441
+442
+443
+444
+445
+446
+447
+448
+449
+450
+451
+452
+453
+454
+455
+456
+457
+458
+459
+460
+461
+462
+463
+464
+465
+466
+467
+468
+469
+470
+471
+472
+473
+474
+475
+476
+477
+478
+479
+480
+481
+482
+483
+484
+485
+486
+487
+488
+489
+490
+491
+492
+493
+494
+495
+496
+497
+498
+499
+500
+501
+502
+503
+504
+505
+506
+507
+508
+509
+510
+511
+512
+513
+514
+515
+516
+517
+518
+519
+520
+521
+522
+523
+524
+525
+526
+527
+528
+529
+530
+531
+532
+533
+534
+535
+536
+537
+538
+539
+540
+541
+542
+543
+544
+545
+546
+547
+548
+549
+550
+551
+552
+553
+554
+555
+556
+557
+558
+559
+560
+561
+562
+563
+564
+565
+566
+567
+568
+569
+570
+571
+572
+573
+574
+575
+576
+577
+578
+579
+580
+581
+582
+583
+584
+585
+586
+587
+588
+589
+590
+591
+592
+593
+594
+595
+596
+597
+598
+599
+600
+601
+602
+603
+604
+605
+606
+607
+608
+609
+610
+611
+612
+613
+614
+615
+616
+617
+618
+619
+620
+621
+622
+623
+624
+625
+626
+627
+628
+629
+630
+631
+632
+633
+634
+635
+636
+637
+638
+639
+640
+641
+642
+643
+644
+645
+646
+647
+648
+649
+650
+651
+652
+653
+654
+655
+656
+657
+658
+659
+660
+661
+662
+663
+664
+665
+666
+667
+668
+669
+670
+671
+672
+673
+674
+675
+676
+677
+678
+679
+680
+681
+682
+683
+684
+685
+686
+687
+688
+689
+690
+691
+692
+693
+694
+695
+696
+697
+698
+699
+700
+701
+702
+703
+704
+705
+706
+707
+708
+709
+710
+711
+712
+713
+714
+715
+716
+717
+718
+719
+720
+721
+722
+723
+724
+725
+726
+727
+728
+729
+730
+731
+732
+733
+734
+735
+736
+737
+738
+739
+740
+741
+742
+743
+744
+745
+746
+747
+748
+749
+750
+751
+752
+753
+754
+755
+756
+757
+758
+759
+760
+761
+762
+763
+764
+765
+766
+767
+768
+769
+770
+771
+772
+773
+774
+775
+776
+777
+778
+779
+780
+781
+782
+783
+784
+785
+786
+787
+788
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+All declarations for literals, aggregates, operators forming an expressions.
+"""
+from typing               import Tuple, List, Iterable, Union
+
+from pyTooling.Decorators import export, readonly
+
+from pyVHDLModel.Base     import ModelEntity, Direction, Range
+from pyVHDLModel.Symbol   import Symbol
+
+
+ExpressionUnion = Union[
+	'BaseExpression',
+	'QualifiedExpression',
+	'FunctionCall',
+	'TypeConversion',
+	# ConstantOrSymbol,     TODO: ObjectSymbol
+	'Literal',
+]
+
+
+@export
+class BaseExpression(ModelEntity):
+	"""A ``BaseExpression`` is a base-class for all expressions."""
+
+
+@export
+class Literal(BaseExpression):
+	"""A ``Literal`` is a base-class for all literals."""
+
+
+@export
+class NullLiteral(Literal):
+	def __str__(self) -> str:
+		return "null"
+
+
+@export
+class EnumerationLiteral(Literal):
+	_value: str
+
+	def __init__(self, value: str, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._value = value
+
+	@readonly
+	def Value(self) -> str:
+		return self._value
+
+	def __str__(self) -> str:
+		return self._value
+
+
+@export
+class NumericLiteral(Literal):
+	"""A ``NumericLiteral`` is a base-class for all numeric literals."""
+
+
+@export
+class IntegerLiteral(NumericLiteral):
+	_value: int
+
+	def __init__(self, value: int) -> None:
+		super().__init__()
+		self._value = value
+
+	@readonly
+	def Value(self) -> int:
+		return self._value
+
+	def __str__(self) -> str:
+		return str(self._value)
+
+
+@export
+class FloatingPointLiteral(NumericLiteral):
+	_value: float
+
+	def __init__(self, value: float) -> None:
+		super().__init__()
+		self._value = value
+
+	@readonly
+	def Value(self) -> float:
+		return self._value
+
+	def __str__(self) -> str:
+		return str(self._value)
+
+
+@export
+class PhysicalLiteral(NumericLiteral):
+	_unitName: str
+
+	def __init__(self, unitName: str) -> None:
+		super().__init__()
+		self._unitName = unitName
+
+	@readonly
+	def UnitName(self) -> str:
+		return self._unitName
+
+	def __str__(self) -> str:
+		return f"{self._value} {self._unitName}"
+
+
+@export
+class PhysicalIntegerLiteral(PhysicalLiteral):
+	_value: int
+
+	def __init__(self, value: int, unitName: str) -> None:
+		super().__init__(unitName)
+		self._value = value
+
+	@readonly
+	def Value(self) -> int:
+		return self._value
+
+
+@export
+class PhysicalFloatingLiteral(PhysicalLiteral):
+	_value: float
+
+	def __init__(self, value: float, unitName: str) -> None:
+		super().__init__(unitName)
+		self._value = value
+
+	@readonly
+	def Value(self) -> float:
+		return self._value
+
+
+@export
+class CharacterLiteral(Literal):
+	_value: str
+
+	def __init__(self, value: str) -> None:
+		super().__init__()
+		self._value = value
+
+	@readonly
+	def Value(self) -> str:
+		return self._value
+
+	def __str__(self) -> str:
+		return str(self._value)
+
+
+@export
+class StringLiteral(Literal):
+	_value: str
+
+	def __init__(self, value: str) -> None:
+		super().__init__()
+		self._value = value
+
+	@readonly
+	def Value(self) -> str:
+		return self._value
+
+	def __str__(self) -> str:
+		return "\"" + self._value + "\""
+
+
+@export
+class BitStringLiteral(Literal):
+	_value: str
+
+	def __init__(self, value: str) -> None:
+		super().__init__()
+		self._value = value
+
+	@readonly
+	def Value(self) -> str:
+		return self._value
+
+	def __str__(self) -> str:
+		return "\"" + self._value + "\""
+
+
+@export
+class ParenthesisExpression: #(Protocol):
+	__slots__ = ()  # FIXME: use ExtendedType?
+
+	@readonly
+	def Operand(self) -> ExpressionUnion:
+		return None
+
+
+@export
+class UnaryExpression(BaseExpression):
+	"""A ``UnaryExpression`` is a base-class for all unary expressions."""
+
+	_FORMAT:  Tuple[str, str]
+	_operand: ExpressionUnion
+
+	def __init__(self, operand: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._operand = operand
+		# operand._parent = self  # FIXME: operand is provided as None
+
+	@readonly
+	def Operand(self):
+		return self._operand
+
+	def __str__(self) -> str:
+		return f"{self._FORMAT[0]}{self._operand!s}{self._FORMAT[1]}"
+
+
+@export
+class NegationExpression(UnaryExpression):
+	_FORMAT = ("-", "")
+
+
+@export
+class IdentityExpression(UnaryExpression):
+	_FORMAT = ("+", "")
+
+
+@export
+class InverseExpression(UnaryExpression):
+	_FORMAT = ("not ", "")
+
+
+@export
+class UnaryAndExpression(UnaryExpression):
+	_FORMAT = ("and ", "")
+
+
+@export
+class UnaryNandExpression(UnaryExpression):
+	_FORMAT = ("nand ", "")
+
+
+@export
+class UnaryOrExpression(UnaryExpression):
+	_FORMAT = ("or ", "")
+
+
+@export
+class UnaryNorExpression(UnaryExpression):
+	_FORMAT = ("nor ", "")
+
+
+@export
+class UnaryXorExpression(UnaryExpression):
+	_FORMAT = ("xor ", "")
+
+
+@export
+class UnaryXnorExpression(UnaryExpression):
+	_FORMAT = ("xnor ", "")
+
+
+@export
+class AbsoluteExpression(UnaryExpression):
+	_FORMAT = ("abs ", "")
+
+
+@export
+class TypeConversion(UnaryExpression):
+	pass
+
+
+@export
+class SubExpression(UnaryExpression, ParenthesisExpression):
+	_FORMAT = ("(", ")")
+
+
+@export
+class BinaryExpression(BaseExpression):
+	"""A ``BinaryExpression`` is a base-class for all binary expressions."""
+
+	_FORMAT: Tuple[str, str, str]
+	_leftOperand:  ExpressionUnion
+	_rightOperand: ExpressionUnion
+
+	def __init__(self, leftOperand: ExpressionUnion, rightOperand: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._leftOperand = leftOperand
+		leftOperand._parent = self
+
+		self._rightOperand = rightOperand
+		rightOperand._parent = self
+
+	@property
+	def LeftOperand(self):
+		return self._leftOperand
+
+	@property
+	def RightOperand(self):
+		return self._rightOperand
+
+	def __str__(self) -> str:
+		return "{leftOperator}{leftOperand!s}{middleOperator}{rightOperand!s}{rightOperator}".format(
+			leftOperator=self._FORMAT[0],
+			leftOperand=self._leftOperand,
+			middleOperator=self._FORMAT[1],
+			rightOperand=self._rightOperand,
+			rightOperator=self._FORMAT[2],
+		)
+
+
+@export
+class RangeExpression(BinaryExpression):
+	_direction: Direction
+
+	@property
+	def Direction(self) -> Direction:
+		return self._direction
+
+
+@export
+class AscendingRangeExpression(RangeExpression):
+	_direction = Direction.To
+	_FORMAT = ("", " to ", "")
+
+
+@export
+class DescendingRangeExpression(RangeExpression):
+	_direction = Direction.DownTo
+	_FORMAT = ("", " downto ", "")
+
+
+@export
+class AddingExpression(BinaryExpression):
+	"""A ``AddingExpression`` is a base-class for all adding expressions."""
+
+
+@export
+class AdditionExpression(AddingExpression):
+	_FORMAT = ("", " + ", "")
+
+
+@export
+class SubtractionExpression(AddingExpression):
+	_FORMAT = ("", " - ", "")
+
+
+@export
+class ConcatenationExpression(AddingExpression):
+	_FORMAT = ("", " & ", "")
+
+
+@export
+class MultiplyingExpression(BinaryExpression):
+	"""A ``MultiplyingExpression`` is a base-class for all multiplying expressions."""
+
+
+@export
+class MultiplyExpression(MultiplyingExpression):
+	_FORMAT = ("", " * ", "")
+
+
+@export
+class DivisionExpression(MultiplyingExpression):
+	_FORMAT = ("", " / ", "")
+
+
+@export
+class RemainderExpression(MultiplyingExpression):
+	_FORMAT = ("", " rem ", "")
+
+
+@export
+class ModuloExpression(MultiplyingExpression):
+	_FORMAT = ("", " mod ", "")
+
+
+@export
+class ExponentiationExpression(MultiplyingExpression):
+	_FORMAT = ("", "**", "")
+
+
+@export
+class LogicalExpression(BinaryExpression):
+	"""A ``LogicalExpression`` is a base-class for all logical expressions."""
+
+
+@export
+class AndExpression(LogicalExpression):
+	_FORMAT = ("", " and ", "")
+
+
+@export
+class NandExpression(LogicalExpression):
+	_FORMAT = ("", " nand ", "")
+
+
+@export
+class OrExpression(LogicalExpression):
+	_FORMAT = ("", " or ", "")
+
+
+@export
+class NorExpression(LogicalExpression):
+	_FORMAT = ("", " nor ", "")
+
+
+@export
+class XorExpression(LogicalExpression):
+	_FORMAT = ("", " xor ", "")
+
+
+@export
+class XnorExpression(LogicalExpression):
+	_FORMAT = ("", " xnor ", "")
+
+
+@export
+class RelationalExpression(BinaryExpression):
+	"""A ``RelationalExpression`` is a base-class for all shifting expressions."""
+
+
+@export
+class EqualExpression(RelationalExpression):
+	_FORMAT = ("", " = ", "")
+
+
+@export
+class UnequalExpression(RelationalExpression):
+	_FORMAT = ("", " /= ", "")
+
+
+@export
+class GreaterThanExpression(RelationalExpression):
+	_FORMAT = ("", " > ", "")
+
+
+@export
+class GreaterEqualExpression(RelationalExpression):
+	_FORMAT = ("", " >= ", "")
+
+
+@export
+class LessThanExpression(RelationalExpression):
+	_FORMAT = ("", " < ", "")
+
+
+@export
+class LessEqualExpression(RelationalExpression):
+	_FORMAT = ("", " <= ", "")
+
+
+@export
+class MatchingRelationalExpression(RelationalExpression):
+	pass
+
+
+@export
+class MatchingEqualExpression(MatchingRelationalExpression):
+	_FORMAT = ("", " ?= ", "")
+
+
+@export
+class MatchingUnequalExpression(MatchingRelationalExpression):
+	_FORMAT = ("", " ?/= ", "")
+
+
+@export
+class MatchingGreaterThanExpression(MatchingRelationalExpression):
+	_FORMAT = ("", " ?> ", "")
+
+
+@export
+class MatchingGreaterEqualExpression(MatchingRelationalExpression):
+	_FORMAT = ("", " ?>= ", "")
+
+
+@export
+class MatchingLessThanExpression(MatchingRelationalExpression):
+	_FORMAT = ("", " ?< ", "")
+
+
+@export
+class MatchingLessEqualExpression(MatchingRelationalExpression):
+	_FORMAT = ("", " ?<= ", "")
+
+
+@export
+class ShiftExpression(BinaryExpression):
+	"""A ``ShiftExpression`` is a base-class for all shifting expressions."""
+
+
+@export
+class ShiftLogicExpression(ShiftExpression):
+	pass
+
+
+@export
+class ShiftArithmeticExpression(ShiftExpression):
+	pass
+
+
+@export
+class RotateExpression(ShiftExpression):
+	pass
+
+
+@export
+class ShiftRightLogicExpression(ShiftLogicExpression):
+	_FORMAT = ("", " srl ", "")
+
+
+@export
+class ShiftLeftLogicExpression(ShiftLogicExpression):
+	_FORMAT = ("", " sll ", "")
+
+
+@export
+class ShiftRightArithmeticExpression(ShiftArithmeticExpression):
+	_FORMAT = ("", " sra ", "")
+
+
+@export
+class ShiftLeftArithmeticExpression(ShiftArithmeticExpression):
+	_FORMAT = ("", " sla ", "")
+
+
+@export
+class RotateRightExpression(RotateExpression):
+	_FORMAT = ("", " ror ", "")
+
+
+@export
+class RotateLeftExpression(RotateExpression):
+	_FORMAT = ("", " rol ", "")
+
+
+@export
+class QualifiedExpression(BaseExpression, ParenthesisExpression):
+	_operand:  ExpressionUnion
+	_subtype:  Symbol
+
+	def __init__(self, subtype: Symbol, operand: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._operand = operand
+		operand._parent = self
+
+		self._subtype = subtype
+		subtype._parent = self
+
+	@property
+	def Operand(self):
+		return self._operand
+
+	@property
+	def Subtyped(self):
+		return self._subtype
+
+	def __str__(self) -> str:
+		return f"{self._subtype}'({self._operand!s})"
+
+
+@export
+class TernaryExpression(BaseExpression):
+	"""A ``TernaryExpression`` is a base-class for all ternary expressions."""
+
+	_FORMAT: Tuple[str, str, str, str]
+	_firstOperand:  ExpressionUnion
+	_secondOperand: ExpressionUnion
+	_thirdOperand:  ExpressionUnion
+
+	def __init__(self, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		# FIXME: parameters and initializers are missing !!
+
+	@property
+	def FirstOperand(self):
+		return self._firstOperand
+
+	@property
+	def SecondOperand(self):
+		return self._secondOperand
+
+	@property
+	def ThirdOperand(self):
+		return self._thirdOperand
+
+	def __str__(self) -> str:
+		return "{beforeFirstOperator}{firstOperand!s}{beforeSecondOperator}{secondOperand!s}{beforeThirdOperator}{thirdOperand!s}{lastOperator}".format(
+			beforeFirstOperator=self._FORMAT[0],
+			firstOperand=self._firstOperand,
+			beforeSecondOperator=self._FORMAT[1],
+			secondOperand=self._secondOperand,
+			beforeThirdOperator=self._FORMAT[2],
+			thirdOperand=self._thirdOperand,
+			lastOperator=self._FORMAT[4],
+		)
+
+
+@export
+class WhenElseExpression(TernaryExpression):
+	_FORMAT = ("", " when ", " else ", "")
+
+
+@export
+class FunctionCall(BaseExpression):
+	pass
+
+
+@export
+class Allocation(BaseExpression):
+	pass
+
+
+@export
+class SubtypeAllocation(Allocation):
+	_subtype: Symbol
+
+	def __init__(self, subtype: Symbol, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._subtype = subtype
+		subtype._parent = self
+
+	@property
+	def Subtype(self) -> Symbol:
+		return self._subtype
+
+	def __str__(self) -> str:
+		return f"new {self._subtype!s}"
+
+
+@export
+class QualifiedExpressionAllocation(Allocation):
+	_qualifiedExpression: QualifiedExpression
+
+	def __init__(self, qualifiedExpression: QualifiedExpression, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._qualifiedExpression = qualifiedExpression
+		qualifiedExpression._parent = self
+
+	@property
+	def QualifiedExpression(self) -> QualifiedExpression:
+		return self._qualifiedExpression
+
+	def __str__(self) -> str:
+		return f"new {self._qualifiedExpression!s}"
+
+
+@export
+class AggregateElement(ModelEntity):
+	"""A ``AggregateElement`` is a base-class for all aggregate elements."""
+
+	_expression: ExpressionUnion
+
+	def __init__(self, expression: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._expression = expression
+		expression._parent = self
+
+	@property
+	def Expression(self):
+		return self._expression
+
+
+@export
+class SimpleAggregateElement(AggregateElement):
+	def __str__(self) -> str:
+		return str(self._expression)
+
+
+@export
+class IndexedAggregateElement(AggregateElement):
+	_index: int
+
+	def __init__(self, index: ExpressionUnion, expression: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(expression, parent)
+
+		self._index = index
+
+	@property
+	def Index(self) -> int:
+		return self._index
+
+	def __str__(self) -> str:
+		return f"{self._index!s} => {self._expression!s}"
+
+
+@export
+class RangedAggregateElement(AggregateElement):
+	_range: Range
+
+	def __init__(self, rng: Range, expression: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(expression, parent)
+
+		self._range = rng
+		rng._parent = self
+
+	@property
+	def Range(self) -> Range:
+		return self._range
+
+	def __str__(self) -> str:
+		return f"{self._range!s} => {self._expression!s}"
+
+
+@export
+class NamedAggregateElement(AggregateElement):
+	_name: Symbol
+
+	def __init__(self, name: Symbol, expression: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(expression, parent)
+
+		self._name = name
+		name._parent = self
+
+	@property
+	def Name(self) -> Symbol:
+		return self._name
+
+	def __str__(self) -> str:
+		return "{name!s} => {value!s}".format(
+			name=self._name,
+			value=self._expression,
+		)
+
+
+@export
+class OthersAggregateElement(AggregateElement):
+	def __str__(self) -> str:
+		return "others => {value!s}".format(
+			value=self._expression,
+		)
+
+
+@export
+class Aggregate(BaseExpression):
+	_elements: List[AggregateElement]
+
+	def __init__(self, elements: Iterable[AggregateElement], parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._elements = []
+		for element in elements:
+			self._elements.append(element)
+			element._parent = self
+
+	@property
+	def Elements(self) -> List[AggregateElement]:
+		return self._elements
+
+	def __str__(self) -> str:
+		choices = [str(element) for element in self._elements]
+		return "({choices})".format(
+			choices=", ".join(choices)
+		)
+
+ + diff --git a/typing/html/pyVHDLModel/IEEE.py.html b/typing/html/pyVHDLModel/IEEE.py.html new file mode 100644 index 000000000..7a599a411 --- /dev/null +++ b/typing/html/pyVHDLModel/IEEE.py.html @@ -0,0 +1,929 @@ + + + + + + +

pyVHDLModel.IEEE

+ + + + + + +
pyVHDLModel/IEEE.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+402
+403
+404
+405
+406
+407
+408
+409
+410
+411
+412
+413
+414
+415
+416
+417
+418
+419
+420
+421
+422
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""This module contains library and package declarations for VHDL library ``IEEE``."""
+from pyTooling.Decorators   import export
+
+from pyVHDLModel.Expression import EnumerationLiteral
+from pyVHDLModel.Name       import SimpleName
+from pyVHDLModel.Predefined import PredefinedLibrary, PredefinedPackage, PredefinedPackageBody
+from pyVHDLModel.Symbol     import SimpleSubtypeSymbol
+from pyVHDLModel.Type       import EnumeratedType, ArrayType, Subtype
+
+
+@export
+class Ieee(PredefinedLibrary):
+	"""
+	Predefined VHDL library ``ieee``.
+
+	The following predefined packages are in this library:
+
+	* Math
+
+	  * :class:`~pyVHDLModel.IEEE.Math_Real`
+	  * :class:`~pyVHDLModel.IEEE.Math_Complex`
+
+	* Std_logic
+
+	  * :class:`~pyVHDLModel.IEEE.Std_Logic_1164`
+	  * :class:`~pyVHDLModel.IEEE.Std_Logic_TextIO`
+	  * :class:`~pyVHDLModel.IEEE.Std_Logic_Misc`
+
+	* Numeric
+
+	  * :class:`~pyVHDLModel.IEEE.Numeric_Bit`
+	  * :class:`~pyVHDLModel.IEEE.Numeric_Bit_Unsigned`
+	  * :class:`~pyVHDLModel.IEEE.Numeric_Std`
+	  * :class:`~pyVHDLModel.IEEE.Numeric_Std_Unsigned`
+
+	* Fixed/floating point
+
+	  * :class:`~pyVHDLModel.IEEE.Fixed_Float_Types`
+	  * :class:`~pyVHDLModel.IEEE.Fixed_Generic_Pkg`
+	  * :class:`~pyVHDLModel.IEEE.Fixed_Pkg`
+	  * :class:`~pyVHDLModel.IEEE.Float_Generic_Pkg`
+	  * :class:`~pyVHDLModel.IEEE.Float_Pkg`
+
+	.. seealso::
+
+	   Other predefined libraries:
+	     * Library :class:`~pyVHDLModel.STD.Std`
+	"""
+
+	def __init__(self) -> None:
+		super().__init__(PACKAGES)
+
+	def LoadSynopsysPackages(self) -> None:
+		self.AddPackages(PACKAGES_SYNOPSYS)
+
+
+
+@export
+class Math_Real(PredefinedPackage):
+	"""
+	Predefined package ``ieee.math_real``.
+	"""
+
+
+@export
+class Math_Real_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.math_real``.
+	"""
+
+
+@export
+class Math_Complex(PredefinedPackage):
+	"""
+	Predefined package ``ieee.math_complex``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("work.math_real.all",))
+
+
+@export
+class Math_Complex_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.math_complex``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("work.math_real.all",))
+
+
+@export
+class Std_logic_1164(PredefinedPackage):
+	"""
+	Predefined package ``ieee.std_logic_1164``.
+
+	Predefined types:
+
+	* ``std_ulogic``, ``std_ulogic_vector``
+	* ``std_logic``, ``std_logic_vector``
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("STD.TEXTIO.all", ))
+
+		stdULogic = EnumeratedType("std_ulogic", (
+			EnumerationLiteral("U"),
+			EnumerationLiteral("X"),
+			EnumerationLiteral("0"),
+			EnumerationLiteral("1"),
+			EnumerationLiteral("Z"),
+			EnumerationLiteral("W"),
+			EnumerationLiteral("L"),
+			EnumerationLiteral("H"),
+			EnumerationLiteral("-"),
+		), None)
+		self._types[stdULogic._normalizedIdentifier] = stdULogic
+		self._declaredItems.append(stdULogic)
+
+		stdULogicVector = ArrayType("std_ulogic_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("std_ulogic")), None)
+		self._types[stdULogicVector._normalizedIdentifier] = stdULogicVector
+		self._declaredItems.append(stdULogicVector)
+
+		stdLogic = Subtype("std_logic", SimpleSubtypeSymbol(SimpleName("std_ulogic")), None)
+		stdLogic._baseType = stdULogic
+		self._subtypes[stdLogic._normalizedIdentifier] = stdLogic
+		self._declaredItems.append(stdLogic)
+
+		stdLogicVector = Subtype("std_logic_vector", SimpleSubtypeSymbol(SimpleName("std_ulogic_vector")), None)
+		stdLogicVector._baseType = stdULogicVector
+		self._subtypes[stdLogicVector._normalizedIdentifier] = stdLogicVector
+		self._declaredItems.append(stdLogicVector)
+
+
+@export
+class Std_logic_1164_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.std_logic_1164``.
+	"""
+
+
+@export
+class std_logic_textio(PredefinedPackage):
+	"""
+	Predefined package ``ieee.std_logic_textio``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("STD.TEXTIO.all", ))
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.std_logic_1164.all", ))
+
+
+@export
+class Std_logic_misc(PredefinedPackage):
+	"""
+	Predefined package ``ieee.std_logic_misc``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.std_logic_1164.all", ))
+
+
+@export
+class Std_logic_misc_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.std_logic_misc``.
+	"""
+
+
+@export
+class Numeric_Bit(PredefinedPackage):
+	"""
+	Predefined package ``ieee.numeric_bit``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("STD.TEXTIO.all", ))
+
+
+@export
+class Numeric_Bit_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.numeric_bit``.
+	"""
+
+
+@export
+class Numeric_Bit_Unsigned(PredefinedPackage):
+	"""
+	Predefined package ``ieee.numeric_bit_unsigned``.
+	"""
+
+
+@export
+class Numeric_Bit_Unsigned_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.numeric_bit_unsigned``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.numeric_bit.all", ))
+
+
+@export
+class Numeric_Std(PredefinedPackage):
+	"""
+	Predefined package ``ieee.numeric_std``.
+
+	Predefined types:
+
+	* ``unresolved_unsigned``, ``unsigned``
+	* ``unresolved_signed``, ``signed``
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("STD.TEXTIO.all", ))
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.std_logic_1164.all", ))
+
+		unresolvedUnsigned = ArrayType("unresolved_unsigned", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("std_ulogic")), None)
+		self._types[unresolvedUnsigned._normalizedIdentifier] = unresolvedUnsigned
+		self._declaredItems.append(unresolvedUnsigned)
+
+		unsigned = Subtype("unsigned", SimpleSubtypeSymbol(SimpleName("unresolved_unsigned")), None)
+		unsigned._baseType = unresolvedUnsigned
+		self._subtypes[unsigned._normalizedIdentifier] = unsigned
+		self._declaredItems.append(unsigned)
+
+		unresolvedSigned = ArrayType("unresolved_signed", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("std_ulogic")), None)
+		self._types[unresolvedSigned._normalizedIdentifier] = unresolvedSigned
+		self._declaredItems.append(unresolvedSigned)
+
+		signed = Subtype("signed", SimpleSubtypeSymbol(SimpleName("unresolved_signed")), None)
+		signed._baseType = unresolvedSigned
+		self._subtypes[signed._normalizedIdentifier] = signed
+		self._declaredItems.append(signed)
+
+
+@export
+class Numeric_Std_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.numeric_std``.
+	"""
+
+
+@export
+class Numeric_Std_Unsigned(PredefinedPackage):
+	"""
+	Predefined package ``ieee.numeric_std_unsigned``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.std_logic_1164.all", ))
+
+
+@export
+class Numeric_Std_Unsigned_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.numeric_std_unsigned``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.numeric_std.all", ))
+
+
+@export
+class Fixed_Float_Types(PredefinedPackage):
+	"""
+	Predefined package ``ieee.fixed_float_types``.
+	"""
+
+
+@export
+class Fixed_Generic_Pkg(PredefinedPackage):
+	"""
+	Predefined package ``ieee.fixed_generic_pkg``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("STD.TEXTIO.all", ))
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.STD_LOGIC_1164.all", ))
+		self._AddPackageClause(("IEEE.NUMERIC_STD.all", ))
+		self._AddPackageClause(("IEEE.fixed_float_types.all", ))
+
+
+@export
+class Fixed_Generic_Pkg_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.fixed_generic_pkg``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.MATH_REAL.all", ))
+
+
+@export
+class Fixed_Pkg(PredefinedPackage):
+	"""
+	Predefined package ``ieee.fixed_pkg``.
+	"""
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddLibraryClause(("IEEE", ))
+
+
+@export
+class Float_Generic_Pkg(PredefinedPackage):
+	"""
+	Predefined package ``ieee.float_generic_pkg``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("STD.TEXTIO.all", ))
+		self._AddLibraryClause(("IEEE", ))
+		self._AddPackageClause(("IEEE.STD_LOGIC_1164.all", ))
+		self._AddPackageClause(("IEEE.NUMERIC_STD.all", ))
+		self._AddPackageClause(("IEEE.fixed_float_types.all", ))
+
+
+@export
+class Float_Generic_Pkg_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``ieee.float_generic_pkg``.
+	"""
+
+
+@export
+class Float_Pkg(PredefinedPackage):
+	"""
+	Predefined package ``ieee.float_pkg``.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddLibraryClause(("IEEE", ))
+
+
+PACKAGES = (
+	(Math_Real,            Math_Real_Body),
+	(Math_Complex,         Math_Complex_Body),
+	(Std_logic_1164,       Std_logic_1164_Body),
+	(std_logic_textio,     None),
+	(Numeric_Bit,          Numeric_Bit_Body),
+	(Numeric_Bit_Unsigned, Numeric_Bit_Unsigned_Body),
+	(Numeric_Std,          Numeric_Std_Body),
+	(Numeric_Std_Unsigned, Numeric_Std_Unsigned_Body),
+	(Fixed_Float_Types,    None),
+	(Fixed_Generic_Pkg,    Fixed_Generic_Pkg_Body),
+	(Fixed_Pkg,            None),
+	(Float_Generic_Pkg,    Float_Generic_Pkg_Body),
+	(Float_Pkg,            None),
+)
+
+PACKAGES_SYNOPSYS = (
+	(Std_logic_misc,       Std_logic_misc_Body),
+)
+
+ + diff --git a/typing/html/pyVHDLModel/Instantiation.py.html b/typing/html/pyVHDLModel/Instantiation.py.html new file mode 100644 index 000000000..a2865a019 --- /dev/null +++ b/typing/html/pyVHDLModel/Instantiation.py.html @@ -0,0 +1,226 @@ + + + + + + +

pyVHDLModel.Instantiation

+ + + + + + +
pyVHDLModel/Instantiation.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Instantiations of packages, procedures, functions and protected types.
+"""
+from typing import List, Optional as Nullable
+
+from pyTooling.Decorators    import export, readonly
+from pyTooling.MetaClasses   import ExtendedType
+
+from pyVHDLModel.Base        import ModelEntity
+from pyVHDLModel.DesignUnit  import PrimaryUnit
+from pyVHDLModel.Association import GenericAssociationItem
+from pyVHDLModel.Subprogram  import Procedure, Function, Subprogram
+from pyVHDLModel.Symbol      import PackageReferenceSymbol
+
+
+@export
+class GenericInstantiationMixin(metaclass=ExtendedType, mixin=True):
+	def __init__(self) -> None:
+		pass
+
+
+@export
+class GenericEntityInstantiationMixin(GenericInstantiationMixin, mixin=True):
+	def __init__(self) -> None:
+		pass
+
+
+@export
+class SubprogramInstantiationMixin(GenericInstantiationMixin, mixin=True):
+	_subprogramReference: Subprogram  # FIXME: is this a subprogram symbol?
+
+	def __init__(self) -> None:
+		super().__init__()
+		self._subprogramReference = None
+
+
+@export
+class ProcedureInstantiation(Procedure, SubprogramInstantiationMixin):
+	pass
+
+
+@export
+class FunctionInstantiation(Function, SubprogramInstantiationMixin):
+	pass
+
+
+@export
+class PackageInstantiation(PrimaryUnit, GenericInstantiationMixin):
+	_packageReference: PackageReferenceSymbol
+	_genericAssociations: List[GenericAssociationItem]
+
+	def __init__(self, identifier: str, uninstantiatedPackage: PackageReferenceSymbol, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, documentation, parent)
+		GenericEntityInstantiationMixin.__init__(self)
+
+		self._packageReference = uninstantiatedPackage
+		# uninstantiatedPackage._parent = self    # FIXME: uninstantiatedPackage is provided as int
+
+		# TODO: extract to mixin
+		self._genericAssociations = []
+
+	@readonly
+	def PackageReference(self) -> PackageReferenceSymbol:
+		return self._packageReference
+
+	@readonly
+	def GenericAssociations(self) -> List[GenericAssociationItem]:
+		return self._genericAssociations
+
+ + diff --git a/typing/html/pyVHDLModel/Interface.py.html b/typing/html/pyVHDLModel/Interface.py.html new file mode 100644 index 000000000..7232a6aad --- /dev/null +++ b/typing/html/pyVHDLModel/Interface.py.html @@ -0,0 +1,457 @@ + + + + + + +

pyVHDLModel.Interface

+ + + + + + +
pyVHDLModel/Interface.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Interface items are used in generic, port and parameter declarations.
+"""
+from typing                 import Iterable, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+
+from pyVHDLModel.Symbol     import Symbol
+from pyVHDLModel.Base       import ModelEntity, DocumentedEntityMixin, ExpressionUnion, Mode
+from pyVHDLModel.Object     import Constant, Signal, Variable, File
+from pyVHDLModel.Subprogram import Procedure, Function
+from pyVHDLModel.Type       import Type
+
+
+@export
+class InterfaceItemMixin(DocumentedEntityMixin, mixin=True):
+	"""An ``InterfaceItem`` is a base-class for all mixin-classes for all interface items."""
+
+	def __init__(self, documentation: Nullable[str] = None) -> None:
+		super().__init__(documentation)
+
+
+@export
+class InterfaceItemWithModeMixin(metaclass=ExtendedType, mixin=True):
+	"""An ``InterfaceItemWithMode`` is a mixin-class to provide a ``Mode`` to interface items."""
+
+	_mode: Mode
+
+	def __init__(self, mode: Mode) -> None:
+		self._mode = mode
+
+	@readonly
+	def Mode(self) -> Mode:
+		return self._mode
+
+
+@export
+class GenericInterfaceItemMixin(InterfaceItemMixin, mixin=True):
+	"""A ``GenericInterfaceItem`` is a mixin class for all generic interface items."""
+
+
+@export
+class PortInterfaceItemMixin(InterfaceItemMixin, InterfaceItemWithModeMixin, mixin=True):
+	"""A ``PortInterfaceItem`` is a mixin class for all port interface items."""
+
+	def __init__(self, mode: Mode) -> None:
+		super().__init__()
+		InterfaceItemWithModeMixin.__init__(self, mode)
+
+
+@export
+class ParameterInterfaceItemMixin(InterfaceItemMixin, mixin=True):
+	"""A ``ParameterInterfaceItem`` is a mixin class for all parameter interface items."""
+
+
+@export
+class GenericConstantInterfaceItem(Constant, GenericInterfaceItemMixin, InterfaceItemWithModeMixin):
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		mode: Mode,
+		subtype: Symbol,
+		defaultExpression: Nullable[ExpressionUnion] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, defaultExpression, documentation, parent)
+		GenericInterfaceItemMixin.__init__(self)
+		InterfaceItemWithModeMixin.__init__(self, mode)
+
+
+@export
+class GenericTypeInterfaceItem(Type, GenericInterfaceItemMixin):
+	def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, documentation, parent)
+		GenericInterfaceItemMixin.__init__(self)
+
+
+@export
+class GenericSubprogramInterfaceItem(GenericInterfaceItemMixin):
+	pass
+
+
+@export
+class GenericProcedureInterfaceItem(Procedure, GenericInterfaceItemMixin):
+	def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, documentation, parent)
+		GenericInterfaceItemMixin.__init__(self)
+
+
+@export
+class GenericFunctionInterfaceItem(Function, GenericInterfaceItemMixin):
+	def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, documentation, parent)
+		GenericInterfaceItemMixin.__init__(self)
+
+
+@export
+class GenericPackageInterfaceItem(GenericInterfaceItemMixin):
+	def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, documentation, parent)
+		GenericInterfaceItemMixin.__init__(self)
+
+
+@export
+class PortSignalInterfaceItem(Signal, PortInterfaceItemMixin):
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		mode: Mode,
+		subtype: Symbol,
+		defaultExpression: Nullable[ExpressionUnion] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, defaultExpression, documentation, parent)
+		PortInterfaceItemMixin.__init__(self, mode)
+
+
+@export
+class ParameterConstantInterfaceItem(Constant, ParameterInterfaceItemMixin, InterfaceItemWithModeMixin):
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		mode: Mode,
+		subtype: Symbol,
+		defaultExpression: Nullable[ExpressionUnion] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, defaultExpression, documentation, parent)
+		ParameterInterfaceItemMixin.__init__(self)
+		InterfaceItemWithModeMixin.__init__(self, mode)
+
+
+@export
+class ParameterVariableInterfaceItem(Variable, ParameterInterfaceItemMixin, InterfaceItemWithModeMixin):
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		mode: Mode,
+		subtype: Symbol,
+		defaultExpression: Nullable[ExpressionUnion] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, defaultExpression, documentation, parent)
+		ParameterInterfaceItemMixin.__init__(self)
+		InterfaceItemWithModeMixin.__init__(self, mode)
+
+
+@export
+class ParameterSignalInterfaceItem(Signal, ParameterInterfaceItemMixin, InterfaceItemWithModeMixin):
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		mode: Mode,
+		subtype: Symbol,
+		defaultExpression: Nullable[ExpressionUnion] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, defaultExpression, documentation, parent)
+		ParameterInterfaceItemMixin.__init__(self)
+		InterfaceItemWithModeMixin.__init__(self, mode)
+
+
+@export
+class ParameterFileInterfaceItem(File, ParameterInterfaceItemMixin):
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		subtype: Symbol,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, documentation, parent)
+		ParameterInterfaceItemMixin.__init__(self)
+
+ + diff --git a/typing/html/pyVHDLModel/Name.py.html b/typing/html/pyVHDLModel/Name.py.html new file mode 100644 index 000000000..2430ed6b1 --- /dev/null +++ b/typing/html/pyVHDLModel/Name.py.html @@ -0,0 +1,497 @@ + + + + + + +

pyVHDLModel.Name

+ + + + + + +
pyVHDLModel/Name.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+VHDL uses *names* to express cross-references from *usage locations* to *declarations*. Here, *names* are single or
+combined identifiers. :mod:`Symbols <pyVHDLModel.Symbol>` are structures representing a *name* and a reference
+(pointer) to the referenced vhdl language entity.
+"""
+from typing import List, Iterable, Optional as Nullable
+
+from pyTooling.Decorators import export, readonly
+
+from pyVHDLModel.Base import ModelEntity, ExpressionUnion
+
+
+@export
+class Name(ModelEntity):
+	"""``Name`` is the base-class for all *names* in the VHDL language model."""
+
+	_identifier: str
+	_normalizedIdentifier: str
+	_root: Nullable['Name']     # TODO: seams to be unused. There is no reverse linking, or?
+	_prefix: Nullable['Name']
+
+	def __init__(self, identifier: str, prefix: Nullable["Name"] = None, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._identifier = identifier
+		self._normalizedIdentifier = identifier.lower()
+
+		if prefix is None:
+			self._prefix = None
+			self._root = self
+		else:
+			self._prefix = prefix
+			self._root = prefix._root
+
+	@readonly
+	def Identifier(self) -> str:
+		"""
+		The identifier the name is referencing.
+
+		:returns: The referenced identifier.
+		"""
+		return self._identifier
+
+	@readonly
+	def NormalizedIdentifier(self) -> str:
+		"""
+		The normalized identifier the name is referencing.
+
+		:returns: The referenced identifier (normalized).
+		"""
+		return self._normalizedIdentifier
+
+	@readonly
+	def Root(self) -> 'Name':
+		"""
+		The root (left-most) element in a chain of names.
+
+		In case the name is a :class:`simple name <SimpleName>`, the root points to the name itself.
+
+		:returns: The name's root element.
+		"""
+		return self._root
+
+	@readonly
+	def Prefix(self) -> Nullable['Name']:
+		"""
+		The name's prefix in a chain of names.
+
+		:returns: The name left from current name, if not a simple name, otherwise ``None``.
+		"""
+		return self._prefix
+
+	@readonly
+	def HasPrefix(self) -> bool:
+		"""
+		Returns true, if the name has a prefix.
+
+		This is true for all names except :class:`simple names <SimpleName>`.
+
+		:returns: ``True``, if the name as a prefix.
+		"""
+		return self._prefix is not None
+
+	def __repr__(self) -> str:
+		return f"Name: '{self.__str__()}'"
+
+	def __str__(self) -> str:
+		return self._identifier
+
+
+@export
+class SimpleName(Name):
+	"""
+	A *simple name* is a name made from a single word.
+
+	For example, the entity name in an architecture declaration is a simple name, while the name of the architecture
+	itself is an identifier. The simple name references is again an identifier in the entity declaration, thus names
+	reference other (already) declared language entities.
+	"""
+
+
+@export
+class ParenthesisName(Name):
+	_associations: List
+
+	def __init__(self, prefix: Name, associations: Iterable, parent: ModelEntity = None) -> None:
+		super().__init__("", prefix, parent)
+
+		self._associations = []
+		for association in associations:
+			self._associations.append(association)
+			association._parent = self
+
+	@readonly
+	def Associations(self) -> List:
+		return self._associations
+
+	def __str__(self) -> str:
+		return f"{self._prefix!s}({', '.join(str(a) for a in self._associations)})"
+
+
+@export
+class IndexedName(Name):
+	_indices: List[ExpressionUnion]
+
+	def __init__(self, prefix: Name, indices: Iterable[ExpressionUnion], parent: ModelEntity = None) -> None:
+		super().__init__("", prefix, parent)
+
+		self._indices = []
+		for index in indices:
+			self._indices.append(index)
+			index._parent = self
+
+	@readonly
+	def Indices(self) -> List[ExpressionUnion]:
+		return self._indices
+
+	def __str__(self) -> str:
+		return f"{self._prefix!s}({', '.join(str(i) for i in self._indices)})"
+
+
+@export
+class SlicedName(Name):
+	pass
+
+
+@export
+class SelectedName(Name):
+	"""
+	A *selected name* is a name made from multiple words separated by a dot (``.``).
+
+	For example, the library and entity name in a direct entity instantiation is a selected name. Here the entity
+	identifier is a selected name. The library identifier is a :class:`simple name <SimpleName>`, which is
+	referenced by the selected name via the :attr:`~pyVHDLModel.Name.Prefix` property.
+	"""
+
+	def __init__(self, identifier: str, prefix: Name, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, prefix, parent)
+
+	def __str__(self) -> str:
+		return f"{self._prefix!s}.{self._identifier}"
+
+
+@export
+class AttributeName(Name):
+	def __init__(self, identifier: str, prefix: Name, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, prefix, parent)
+
+	def __str__(self) -> str:
+		return f"{self._prefix!s}'{self._identifier}"
+
+
+@export
+class AllName(SelectedName):
+	"""
+	The *all name* represents the reserved word ``all`` used in names.
+
+	Most likely this name is used in use-statements.
+	"""
+	def __init__(self, prefix: Name, parent: ModelEntity = None) -> None:
+		super().__init__("all", prefix, parent)  # TODO: the case of 'ALL' is not preserved
+
+
+@export
+class OpenName(Name):
+	"""
+	The *open name* represents the reserved word ``open``.
+
+	Most likely this name is used in port associations.
+	"""
+	def __init__(self, parent: ModelEntity = None) -> None:
+		super().__init__("open", parent)  # TODO: the case of 'OPEN' is not preserved
+
+	def __str__(self) -> str:
+		return "open"
+
+ + diff --git a/typing/html/pyVHDLModel/Namespace.py.html b/typing/html/pyVHDLModel/Namespace.py.html new file mode 100644 index 000000000..4ef056c60 --- /dev/null +++ b/typing/html/pyVHDLModel/Namespace.py.html @@ -0,0 +1,356 @@ + + + + + + +

pyVHDLModel.Namespace

+ + + + + + +
pyVHDLModel/Namespace.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+A helper class to implement namespaces and scopes.
+"""
+from typing               import TypeVar, Generic, Dict, Optional as Nullable
+
+from pyTooling.Decorators import readonly
+
+from pyVHDLModel.Object   import Obj, Signal, Constant, Variable
+from pyVHDLModel.Symbol   import ComponentInstantiationSymbol, Symbol, PossibleReference
+from pyVHDLModel.Type     import Subtype, FullType, BaseType
+
+K = TypeVar("K")
+O = TypeVar("O")
+
+
+class Namespace(Generic[K, O]):
+	_name:            str
+	_parentNamespace: 'Namespace'
+	_subNamespaces:   Dict[str, 'Namespace']
+	_elements:        Dict[K, O]
+
+	def __init__(self, name: str, parentNamespace: Nullable["Namespace"] = None) -> None:
+		self._name = name
+		self._parentNamespace = parentNamespace
+		self._subNamespaces = {}
+		self._elements = {}
+
+	@readonly
+	def Name(self) -> str:
+		return self._name
+
+	@readonly
+	def ParentNamespace(self) -> 'Namespace':
+		return self._parentNamespace
+
+	@ParentNamespace.setter
+	def ParentNamespace(self, value: 'Namespace'):
+		self._parentNamespace = value
+		value._subNamespaces[self._name] = self
+
+	@readonly
+	def SubNamespaces(self) -> Dict[str, 'Namespace']:
+		return self._subNamespaces
+
+	def Elements(self) -> Dict[K, O]:
+		return self._elements
+
+	def FindComponent(self, componentSymbol: ComponentInstantiationSymbol) -> 'Component':
+		from pyVHDLModel.DesignUnit import Component
+
+		try:
+			element = self._elements[componentSymbol._name._normalizedIdentifier]
+			if isinstance(element, Component):
+				return element
+			else:
+				raise TypeError(f"Found element '{componentSymbol._name._identifier}', but it is not a component.")
+		except KeyError:
+			parentNamespace = self._parentNamespace
+			if parentNamespace is None:
+				raise KeyError(f"Component '{componentSymbol._name._identifier}' not found in '{self._name}'.")
+
+			return parentNamespace.FindComponent(componentSymbol)
+
+	def FindSubtype(self, subtypeSymbol: Symbol) -> BaseType:
+		try:
+			element = self._elements[subtypeSymbol._name._normalizedIdentifier]
+			if isinstance(element, Subtype):
+				if PossibleReference.Subtype in subtypeSymbol._possibleReferences:
+					return element
+				else:
+					raise TypeError(f"Found subtype '{subtypeSymbol._name._identifier}', but it was not expected.")
+			elif isinstance(element, FullType):
+				if PossibleReference.Type in subtypeSymbol._possibleReferences:
+					return element
+				else:
+					raise TypeError(f"Found type '{subtypeSymbol._name._identifier}', but it was not expected.")
+			else:
+				raise TypeError(f"Found element '{subtypeSymbol._name._identifier}', but it is not a type or subtype.")
+		except KeyError:
+			parentNamespace = self._parentNamespace
+			if parentNamespace is None:
+				raise KeyError(f"Subtype '{subtypeSymbol._name._identifier}' not found in '{self._name}'.")
+
+			return parentNamespace.FindSubtype(subtypeSymbol)
+
+	def FindObject(self, objectSymbol: Symbol) -> Obj:
+		try:
+			element = self._elements[objectSymbol._name._normalizedIdentifier]
+			if isinstance(element, Signal):
+				if PossibleReference.Signal in objectSymbol._possibleReferences:
+					return element
+				elif PossibleReference.SignalAttribute in objectSymbol._possibleReferences:
+					return element
+				else:
+					raise TypeError(f"Found signal '{objectSymbol._name._identifier}', but it was not expected.")
+			elif isinstance(element, Constant):
+				if PossibleReference.Constant in objectSymbol._possibleReferences:
+					return element
+				else:
+					raise TypeError(f"Found constant '{objectSymbol._name._identifier}', but it was not expected.")
+			elif isinstance(element, Variable):
+				if PossibleReference.Variable in objectSymbol._possibleReferences:
+					return element
+				else:
+					raise TypeError(f"Found variable '{objectSymbol._name._identifier}', but it was not expected.")
+			else:
+				raise TypeError(f"Found element '{objectSymbol._name._identifier}', but it is not a type or subtype.")
+		except KeyError:
+			parentNamespace = self._parentNamespace
+			if parentNamespace is None:
+				raise KeyError(f"Subtype '{objectSymbol._name._identifier}' not found in '{self._name}'.")
+
+			return parentNamespace.FindObject(objectSymbol)
+
+ + diff --git a/typing/html/pyVHDLModel/Object.py.html b/typing/html/pyVHDLModel/Object.py.html new file mode 100644 index 000000000..281ea7ddd --- /dev/null +++ b/typing/html/pyVHDLModel/Object.py.html @@ -0,0 +1,526 @@ + + + + + + +

pyVHDLModel.Object

+ + + + + + +
pyVHDLModel/Object.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Objects are constants, variables, signals and files.
+"""
+from typing                import Iterable, Optional as Nullable
+
+from pyTooling.Decorators  import export, readonly
+from pyTooling.MetaClasses import ExtendedType
+from pyTooling.Graph       import Vertex
+
+from pyVHDLModel.Base      import ModelEntity, MultipleNamedEntityMixin, DocumentedEntityMixin, ExpressionUnion
+from pyVHDLModel.Symbol    import Symbol
+
+
+@export
+class Obj(ModelEntity, MultipleNamedEntityMixin, DocumentedEntityMixin):
+	"""
+	Base-class for all objects (constants, signals, variables and files) in VHDL.
+
+	An object (syntax element) can define multiple objects (semantic elements) in a single declaration, thus
+	:class:`~pyVHDLModel.Base.MultipleNamedEntityMixin` is inherited. All objects can be documented, thus
+	:class:`~pyVHDLModel.Base.DocumentedEntityMixin` is inherited too.
+
+	Each object references a subtype via :data:`_subtype`.
+
+	Objects are elements in the type and object graph, thus a reference to a vertex in that graph is stored in
+	:data:`__objectVertex`.
+	"""
+
+	_subtype:      Symbol
+	_objectVertex: Nullable[Vertex]
+
+	def __init__(self, identifiers: Iterable[str], subtype: Symbol, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+		MultipleNamedEntityMixin.__init__(self, identifiers)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		self._subtype = subtype
+		subtype._parent = self
+
+		self._objectVertex = None
+
+	@readonly
+	def Subtype(self) -> Symbol:
+		return self._subtype
+
+	@readonly
+	def ObjectVertex(self) -> Nullable[Vertex]:
+		"""
+		Read-only property to access the corresponding object vertex (:attr:`_objectVertex`).
+
+		The object vertex references this Object by its value field.
+
+		:returns: The corresponding object vertex.
+		"""
+		return self._objectVertex
+
+
+@export
+class WithDefaultExpressionMixin(metaclass=ExtendedType, mixin=True):
+	"""
+	A ``WithDefaultExpression`` is a mixin-class for all objects declarations accepting default expressions.
+
+	The default expression is referenced by :data:`__defaultExpression`. If no default expression is present, this field
+	is ``None``.
+	"""
+
+	_defaultExpression: Nullable[ExpressionUnion]
+
+	def __init__(self, defaultExpression: Nullable[ExpressionUnion] = None) -> None:
+		self._defaultExpression = defaultExpression
+		if defaultExpression is not None:
+			defaultExpression._parent = self
+
+	@readonly
+	def DefaultExpression(self) -> Nullable[ExpressionUnion]:
+		return self._defaultExpression
+
+
+@export
+class BaseConstant(Obj):
+	"""
+	Base-class for all constants (normal and deferred constants) in VHDL.
+	"""
+
+
+@export
+class Constant(BaseConstant, WithDefaultExpressionMixin):
+	"""
+	Represents a constant.
+
+	As constants (always) have a default expression, the class :class:`~pyVHDLModel.Object.WithDefaultExpressionMixin` is inherited.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      constant BITS : positive := 8;
+	"""
+
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		subtype: Symbol,
+		defaultExpression: Nullable[ExpressionUnion] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, documentation, parent)
+		WithDefaultExpressionMixin.__init__(self, defaultExpression)
+
+
+@export
+class DeferredConstant(BaseConstant):
+	"""
+	Represents a deferred constant.
+
+	Deferred constants are forward declarations for a (complete) constant declaration, thus it contains a
+	field :data:`__constantReference` to the complete constant declaration.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      constant BITS : positive;
+	"""
+	_constantReference: Nullable[Constant]
+
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		subtype: Symbol,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, documentation, parent)
+
+	@readonly
+	def ConstantReference(self) -> Nullable[Constant]:
+		return self._constantReference
+
+	def __str__(self) -> str:
+		return f"constant {', '.join(self._identifiers)} : {self._subtype}"
+
+
+@export
+class Variable(Obj, WithDefaultExpressionMixin):
+	"""
+	Represents a variable.
+
+	As variables might have a default expression, the class :class:`~pyVHDLModel.Object.WithDefaultExpressionMixin` is inherited.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      variable result : natural := 0;
+	"""
+
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		subtype: Symbol,
+		defaultExpression: Nullable[ExpressionUnion] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, documentation, parent)
+		WithDefaultExpressionMixin.__init__(self, defaultExpression)
+
+
+@export
+class SharedVariable(Obj):
+	"""
+	Represents a shared variable.
+
+	.. todo:: Shared variable object not implemented.
+	"""
+
+
+
+@export
+class Signal(Obj, WithDefaultExpressionMixin):
+	"""
+	Represents a signal.
+
+	As signals might have a default expression, the class :class:`~pyVHDLModel.Object.WithDefaultExpressionMixin` is inherited.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      signal counter : unsigned(7 downto 0) := '0';
+	"""
+
+	def __init__(
+		self,
+		identifiers: Iterable[str],
+		subtype: Symbol,
+		defaultExpression: Nullable[ExpressionUnion] = None,
+		documentation: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifiers, subtype, documentation, parent)
+		WithDefaultExpressionMixin.__init__(self, defaultExpression)
+
+
+@export
+class File(Obj):
+	"""
+	Represents a file.
+
+	.. todo:: File object not implemented.
+	"""
+
+ + diff --git a/typing/html/pyVHDLModel/PSLModel.py.html b/typing/html/pyVHDLModel/PSLModel.py.html new file mode 100644 index 000000000..54f45f89e --- /dev/null +++ b/typing/html/pyVHDLModel/PSLModel.py.html @@ -0,0 +1,168 @@ + + + + + + +

pyVHDLModel.PSLModel

+ + + + + + +
pyVHDLModel/PSLModel.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains an abstract document language model for PSL in VHDL.
+"""
+from pyTooling.Decorators import export
+
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin
+from pyVHDLModel.DesignUnit import PrimaryUnit
+
+
+@export
+class PSLEntity(ModelEntity):
+	pass
+
+
+@export
+class PSLPrimaryUnit(PrimaryUnit):
+	pass
+
+
+@export
+class VerificationUnit(PSLPrimaryUnit):
+	def __init__(self, identifier: str) -> None:
+		super().__init__(identifier, parent=None)
+
+
+@export
+class VerificationProperty(PSLPrimaryUnit):
+	def __init__(self, identifier: str) -> None:
+		super().__init__(identifier, parent=None)
+
+
+@export
+class VerificationMode(PSLPrimaryUnit):
+	def __init__(self, identifier: str) -> None:
+		super().__init__(identifier, parent=None)
+
+
+@export
+class DefaultClock(PSLEntity, NamedEntityMixin):
+	def __init__(self, identifier: str) -> None:
+		super().__init__()
+		NamedEntityMixin.__init__(self, identifier)
+
+ + diff --git a/typing/html/pyVHDLModel/Predefined.py.html b/typing/html/pyVHDLModel/Predefined.py.html new file mode 100644 index 000000000..be924f7c8 --- /dev/null +++ b/typing/html/pyVHDLModel/Predefined.py.html @@ -0,0 +1,273 @@ + + + + + + +

pyVHDLModel.Predefined

+ + + + + + +
pyVHDLModel/Predefined.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""This module contains base-classes for predefined library and package declarations."""
+from typing                 import Iterable
+
+from pyTooling.Decorators   import export
+from pyTooling.MetaClasses  import ExtendedType
+
+from pyVHDLModel            import Library, Package, PackageBody, AllPackageMembersReferenceSymbol, PackageMemberReferenceSymbol
+from pyVHDLModel.Name       import SimpleName, SelectedName, AllName
+from pyVHDLModel.Symbol     import LibraryReferenceSymbol, PackageSymbol
+from pyVHDLModel.DesignUnit import LibraryClause, UseClause
+
+
+@export
+class PredefinedLibrary(Library):
+	"""
+	A base-class for predefined VHDL libraries.
+
+	VHDL defines 2 predefined libraries:
+
+	* :class:`~pyVHDLModel.STD.Std`
+	* :class:`~pyVHDLModel.IEEE.Ieee`
+	"""
+
+	def __init__(self, packages) -> None:
+		super().__init__(self.__class__.__name__, None)
+
+		self.AddPackages(packages)
+
+	def AddPackages(self, packages) -> None:
+		for packageType, packageBodyType in packages:
+			package: Package = packageType()
+			package.Library = self
+			self._packages[package.NormalizedIdentifier] = package
+
+			if packageBodyType is not None:
+				packageBody: PackageBody = packageBodyType()
+				packageBody.Library = self
+				self._packageBodies[packageBody.NormalizedIdentifier] = packageBody
+
+
+@export
+class PredefinedPackageMixin(metaclass=ExtendedType, mixin=True):
+	"""
+	A mixin-class for predefined VHDL packages and package bodies.
+	"""
+
+	def _AddLibraryClause(self, libraries: Iterable[str]):
+		symbols = [LibraryReferenceSymbol(SimpleName(libName)) for libName in libraries]
+		libraryClause = LibraryClause(symbols)
+
+		self._contextItems.append(libraryClause)
+		self._libraryReferences.append(libraryClause)
+
+	def _AddPackageClause(self, packages: Iterable[str]):
+		symbols = []
+		for qualifiedPackageName in packages:
+			libName, packName, members = qualifiedPackageName.split(".")
+
+			packageName = SelectedName(packName, SimpleName(libName))
+			if members.lower() == "all":
+				symbols.append(AllPackageMembersReferenceSymbol(AllName(packageName)))
+			else:
+				symbols.append(PackageMemberReferenceSymbol(SelectedName(members, packageName)))
+
+		useClause = UseClause(symbols)
+		self._contextItems.append(useClause)
+		self._packageReferences.append(useClause)
+
+
+@export
+class PredefinedPackage(Package, PredefinedPackageMixin):
+	"""
+	A base-class for predefined VHDL packages.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__(self.__class__.__name__, parent=None)
+
+
+@export
+class PredefinedPackageBody(PackageBody, PredefinedPackageMixin):
+	"""
+	A base-class for predefined VHDL package bodies.
+	"""
+
+	def __init__(self) -> None:
+		packageSymbol = PackageSymbol(SimpleName(self.__class__.__name__[:-5]))
+		super().__init__(packageSymbol, parent=None)
+
+ + diff --git a/typing/html/pyVHDLModel/Regions.py.html b/typing/html/pyVHDLModel/Regions.py.html new file mode 100644 index 000000000..d7a8690c5 --- /dev/null +++ b/typing/html/pyVHDLModel/Regions.py.html @@ -0,0 +1,446 @@ + + + + + + +

pyVHDLModel.Regions

+ + + + + + +
pyVHDLModel/Regions.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+tbd.
+"""
+from typing                 import List, Dict, Iterable, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+
+from pyVHDLModel.Object     import Constant, SharedVariable, File, Variable, Signal
+from pyVHDLModel.Subprogram import Subprogram, Function, Procedure
+from pyVHDLModel.Type       import Subtype, FullType
+
+
+@export
+class ConcurrentDeclarationRegionMixin(metaclass=ExtendedType, mixin=True):
+	# FIXME: define list prefix type e.g. via Union
+	_declaredItems:   List                              #: List of all declared items in this concurrent declaration region.
+
+	# _attributes:     Dict[str, Attribute]
+	# _aliases:        Dict[str, Alias]
+	_types:           Dict[str, FullType]               #: Dictionary of all types declared in this concurrent declaration region.
+	_subtypes:        Dict[str, Subtype]                #: Dictionary of all subtypes declared in this concurrent declaration region.
+	# _objects:        Dict[str, Union[Constant, Variable, Signal]]
+	_constants:       Dict[str, Constant]               #: Dictionary of all constants declared in this concurrent declaration region.
+	_signals:         Dict[str, Signal]                 #: Dictionary of all signals declared in this concurrent declaration region.
+	_sharedVariables: Dict[str, SharedVariable]         #: Dictionary of all shared variables declared in this concurrent declaration region.
+	_files:           Dict[str, File]                   #: Dictionary of all files declared in this concurrent declaration region.
+	# _subprograms:     Dict[str, Dict[str, Subprogram]]  #: Dictionary of all subprograms declared in this concurrent declaration region.
+	_functions:       Dict[str, Dict[str, Function]]    #: Dictionary of all functions declared in this concurrent declaration region.
+	_procedures:      Dict[str, Dict[str, Procedure]]   #: Dictionary of all procedures declared in this concurrent declaration region.
+
+	def __init__(self, declaredItems: Nullable[Iterable] = None) -> None:
+		# TODO: extract to mixin
+		self._declaredItems = []  # TODO: convert to dict
+		if declaredItems is not None:
+			for item in declaredItems:
+				self._declaredItems.append(item)
+				item._parent = self
+
+		self._types =       {}
+		self._subtypes =    {}
+		# self._objects =     {}
+		self._constants =   {}
+		self._signals =     {}
+		self._sharedVariables = {}
+		self._files =       {}
+		# self._subprograms = {}
+		self._functions =   {}
+		self._procedures =  {}
+
+	@readonly
+	def DeclaredItems(self) -> List:
+		return self._declaredItems
+
+	@readonly
+	def Types(self) -> Dict[str, FullType]:
+		return self._types
+
+	@readonly
+	def Subtypes(self) -> Dict[str, Subtype]:
+		return self._subtypes
+
+	# @readonly
+	# def Objects(self) -> Dict[str, Union[Constant, SharedVariable, Signal, File]]:
+	# 	return self._objects
+
+	@readonly
+	def Constants(self) -> Dict[str, Constant]:
+		return self._constants
+
+	@readonly
+	def Signals(self) -> Dict[str, Signal]:
+		return self._signals
+
+	@readonly
+	def SharedVariables(self) -> Dict[str, SharedVariable]:
+		return self._sharedVariables
+
+	@readonly
+	def Files(self) -> Dict[str, File]:
+		return self._files
+
+	# @readonly
+	# def Subprograms(self) -> Dict[str, Subprogram]:
+	# 	return self._subprograms
+
+	@readonly
+	def Functions(self) -> Dict[str, Dict[str, Function]]:
+		return self._functions
+
+	@readonly
+	def Procedures(self) -> Dict[str, Dict[str, Procedure]]:
+		return self._procedures
+
+	def IndexDeclaredItems(self) -> None:
+		"""
+		Index declared items listed in the concurrent declaration region.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all declared items:
+
+		   * Every declared item is added to :attr:`_namespace`.
+		   * If the declared item is a :class:`~pyVHDLModel.Type.FullType`, then add an entry to :attr:`_types`.
+		   * If the declared item is a :class:`~pyVHDLModel.Type.SubType`, then add an entry to :attr:`_subtypes`.
+		   * If the declared item is a :class:`~pyVHDLModel.Subprogram.Function`, then add an entry to :attr:`_functions`.
+		   * If the declared item is a :class:`~pyVHDLModel.Subprogram.Procedure`, then add an entry to :attr:`_procedures`.
+		   * If the declared item is a :class:`~pyVHDLModel.Object.Constant`, then add an entry to :attr:`_constants`.
+		   * If the declared item is a :class:`~pyVHDLModel.Object.Signal`, then add an entry to :attr:`_signals`.
+		   * If the declared item is a :class:`~pyVHDLModel.Object.Variable`, TODO.
+		   * If the declared item is a :class:`~pyVHDLModel.Object.SharedVariable`, then add an entry to :attr:`_sharedVariables`.
+		   * If the declared item is a :class:`~pyVHDLModel.Object.File`, then add an entry to :attr:`_files`.
+		   * If the declared item is neither of these types, call :meth:`_IndexOtherDeclaredItem`. |br|
+		     Derived classes may override this virtual function.
+
+		.. seealso::
+
+		   :meth:`pyVHDLModel.Design.IndexPackages`
+		     Iterate all packages in the design and index declared items.
+		   :meth:`pyVHDLModel.Library.IndexPackages`
+		     Iterate all packages in the library and index declared items.
+		   :meth:`pyVHDLModel.Library._IndexOtherDeclaredItem`
+		     Iterate all packages in the library and index declared items.
+		"""
+		for item in self._declaredItems:
+			if isinstance(item, FullType):
+				self._types[item._normalizedIdentifier] = item
+				self._namespace._elements[item._normalizedIdentifier] = item
+			elif isinstance(item, Subtype):
+				self._subtypes[item._normalizedIdentifier] = item
+				self._namespace._elements[item._normalizedIdentifier] = item
+			elif isinstance(item, Function):
+				self._functions[item._normalizedIdentifier] = item
+				self._namespace._elements[item._normalizedIdentifier] = item
+			elif isinstance(item, Procedure):
+				self._procedures[item._normalizedIdentifier] = item
+				self._namespace._elements[item._normalizedIdentifier] = item
+			elif isinstance(item, Constant):
+				for normalizedIdentifier in item._normalizedIdentifiers:
+					self._constants[normalizedIdentifier] = item
+					self._namespace._elements[normalizedIdentifier] = item
+					# self._objects[normalizedIdentifier] = item
+			elif isinstance(item, Signal):
+				for normalizedIdentifier in item._normalizedIdentifiers:
+					self._signals[normalizedIdentifier] = item
+					self._namespace._elements[normalizedIdentifier] = item
+			elif isinstance(item, Variable):
+				print(f"IndexDeclaredItems - {item._identifiers}")
+			elif isinstance(item, SharedVariable):
+				for normalizedIdentifier in item._normalizedIdentifiers:
+					self._sharedVariables[normalizedIdentifier] = item
+					self._namespace._elements[normalizedIdentifier] = item
+			elif isinstance(item, File):
+				for normalizedIdentifier in item._normalizedIdentifiers:
+					self._files[normalizedIdentifier] = item
+					self._namespace._elements[normalizedIdentifier] = item
+			else:
+				self._IndexOtherDeclaredItem(item)
+
+	def _IndexOtherDeclaredItem(self, item) -> None:
+		print(f"_IndexOtherDeclaredItem - {item}\n  ({' -> '.join(t.__name__ for t in type(item).mro())})")
+
+ + diff --git a/typing/html/pyVHDLModel/STD.py.html b/typing/html/pyVHDLModel/STD.py.html new file mode 100644 index 000000000..06381bcf8 --- /dev/null +++ b/typing/html/pyVHDLModel/STD.py.html @@ -0,0 +1,550 @@ + + + + + + +

pyVHDLModel.STD

+ + + + + + +
pyVHDLModel/STD.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""This module contains library and package declarations for VHDL library ``STD``."""
+
+from pyTooling.Decorators    import export
+
+from pyVHDLModel.Base        import Range, Direction
+from pyVHDLModel.Name        import SimpleName
+from pyVHDLModel.Symbol      import SimpleSubtypeSymbol
+from pyVHDLModel.Expression  import EnumerationLiteral, IntegerLiteral, PhysicalIntegerLiteral
+from pyVHDLModel.Type        import EnumeratedType, IntegerType, Subtype, PhysicalType, ArrayType
+from pyVHDLModel.Predefined  import PredefinedLibrary, PredefinedPackage, PredefinedPackageBody
+
+
+@export
+class Std(PredefinedLibrary):
+	"""
+	Predefined VHDL library ``std``.
+
+	The following predefined packages are in this library:
+
+	* :class:`~pyVHDLModel.STD.Standard`
+	* :class:`~pyVHDLModel.STD.Env`
+	* :class:`~pyVHDLModel.STD.TextIO`
+
+	.. seealso::
+
+	   Other predefined libraries:
+	     * Library :class:`~pyVHDLModel.IEEE.Ieee`
+	"""
+
+	def __init__(self) -> None:
+		super().__init__(PACKAGES)
+
+
+@export
+class Standard(PredefinedPackage):
+	"""
+	Predefined package ``std.standard``.
+
+	Predefined types:
+
+	* ``boolean``, ``boolean_vector``
+	* ``bit``, ``bit_vector``
+	* ``character``, ``string``
+	* ``integer``, ``integer_vector``
+	* ``natural``, ``positive``
+	* ``real``, ``real_vector``
+	* ``time``, ``time_vector``
+	* ``open_file_kind``, ``open_file_status``
+
+	.. seealso::
+
+	   Matching :class:`Package Body <pyVHDLModel.STD.Standard_Body>` declaration.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		boolean = EnumeratedType("boolean", (EnumerationLiteral("false"), EnumerationLiteral("true")), None)
+		self._types[boolean._normalizedIdentifier] = boolean
+		self._declaredItems.append(boolean)
+
+		bit = EnumeratedType("bit", (EnumerationLiteral("'0'"), EnumerationLiteral("'1'")), None)
+		self._types[bit._normalizedIdentifier] = bit
+		self._declaredItems.append(bit)
+
+		chars = \
+			"nul", "soh", "stx", "etx", "eot", "enq", "ack", "bel", "bs", "ht", "lf", "vt", "ff", "cr", "so", "si", "dle", "dc1", "dc2", "dc3",\
+			"dc4", "nak", "syn", "etb", "can", "em", "sub", "esc", "fsp", "gsp", "rsp", "usp", "' '", "'!'", "'\"'", "'#'", "'$'", "'%'", "'&'", "'''",\
+			"'('", "')'", "'*'", "'+'", "','", "'-'", "'.'", "'/'", "'0'", "'1'", "'2'", "'3'", "'4'", "'5'", "'6'", "'7'", "'8'", "'9'", "':'", "';'",\
+			"'<'", "'='", "'>'", "'?'", "'@'", "'A'", "'B'", "'C'", "'D'", "'E'", "'F'", "'G'", "'H'", "'I'", "'J'", "'K'", "'L'", "'M'", "'N'", "'O'",\
+			"'P'", "'Q'", "'R'", "'S'", "'T'", "'U'", "'V'", "'W'", "'X'", "'Y'", "'Z'", "'['", "'\'", "']'", "'^'", "'_'", "'`'", "'a'", "'b'", "'c'",\
+			"'d'", "'e'", "'f'", "'g'", "'h'", "'i'", "'j'", "'k'", "'l'", "'m'", "'n'", "'o'", "'p'", "'q'", "'r'", "'s'", "'t'", "'u'", "'v'", "'w'",\
+			"'x'", "'y'", "'z'", "'{'", "'|'", "'}'", "'~'", "del", "c128", "c129", "c130", "c131", "c132", "c133", "c134", "c135", "c136", "c137", "c138", "c139",\
+			"c140", "c141", "c142", "c143", "c144", "c145", "c146", "c147", "c148", "c149", "c150", "c151", "c152", "c153", "c154", "c155", "c156", "c157", "c158", "c159",\
+			"' '", "'¡'", "'¢'", "'£'", "'¤'", "'¥'", "'¦'", "'§'", "'¨'", "'©'", "'ª'", "'«'", "'¬'", "'­'", "'®'", "'¯'", "'°'", "'±'", "'²'", "'³'",\
+			"'´'", "'µ'", "'¶'", "'·'", "'¸'", "'¹'", "'º'", "'»'", "'¼'", "'½'", "'¾'", "'¿'", "'À'", "'Á'", "'Â'", "'Ã'", "'Ä'", "'Å'", "'Æ'", "'Ç'",\
+			"'È'", "'É'", "'Ê'", "'Ë'", "'Ì'", "'Í'", "'Î'", "'Ï'", "'Ð'", "'Ñ'", "'Ò'", "'Ó'", "'Ô'", "'Õ'", "'Ö'", "'×'", "'Ø'", "'Ù'", "'Ú'", "'Û'",\
+			"'Ü'", "'Ý'", "'Þ'", "'ß'", "'à'", "'á'", "'â'", "'ã'", "'ä'", "'å'", "'æ'", "'ç'", "'è'", "'é'", "'ê'", "'ë'", "'ì'", "'í'", "'î'", "'ï'",\
+			"'ð'", "'ñ'", "'ò'", "'ó'", "'ô'", "'õ'", "'ö'", "'÷'", "'ø'", "'ù'", "'ú'", "'û'", "'ü'", "'ý'", "'þ'", "'ÿ'"
+		character = EnumeratedType("character", [EnumerationLiteral(char) for char in chars], None)
+		self._types[character._normalizedIdentifier] = character
+		self._declaredItems.append(character)
+
+		levels = "note", "warning", "error", "failure"
+		severityLevel = EnumeratedType("severityLevel", [EnumerationLiteral(level) for level in levels], None)
+		self._types[severityLevel._normalizedIdentifier] = severityLevel
+		self._declaredItems.append(severityLevel)
+
+		integer = IntegerType("integer", Range(IntegerLiteral(-2**31), IntegerLiteral(2**31 - 1), Direction.To), None)
+		self._types[integer._normalizedIdentifier] = integer
+		self._declaredItems.append(integer)
+
+		# real
+
+		time = PhysicalType("time", Range(IntegerLiteral(-2**63), IntegerLiteral(2**63 - 1), Direction.To), primaryUnit="fs", units=(
+			("ps", PhysicalIntegerLiteral(1000, "fs")),
+			("ns", PhysicalIntegerLiteral(1000, "ps")),
+			("us", PhysicalIntegerLiteral(1000, "ns")),
+			("ms", PhysicalIntegerLiteral(1000, "us")),
+			("sec", PhysicalIntegerLiteral(1000, "ms")),
+			("min", PhysicalIntegerLiteral(60, "sec")),
+			("hr", PhysicalIntegerLiteral(60, "min")),
+		), parent=None)
+		self._types[time._normalizedIdentifier] = time
+		self._declaredItems.append(time)
+
+		# delay_length
+
+		# now
+
+		natural = Subtype("natural", SimpleSubtypeSymbol(SimpleName("integer")), None)
+		natural._baseType = integer
+		natural._range = Range(IntegerLiteral(0), IntegerLiteral(2**31 - 1), Direction.To)
+		self._subtypes[natural._normalizedIdentifier] = natural
+		self._declaredItems.append(natural)
+
+		positive = Subtype("positive", SimpleSubtypeSymbol(SimpleName("integer")), None)
+		positive._baseType = integer
+		positive._range = Range(IntegerLiteral(1), IntegerLiteral(2**31 - 1), Direction.To)
+		self._subtypes[positive._normalizedIdentifier] = positive
+		self._declaredItems.append(positive)
+
+		string = ArrayType("string", (SimpleSubtypeSymbol(SimpleName("positive")),), SimpleSubtypeSymbol(SimpleName("character")), None)
+		self._types[string._normalizedIdentifier] = string
+		self._declaredItems.append(string)
+
+		booleanVector = ArrayType("boolean_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("boolean")), None)
+		self._types[booleanVector._normalizedIdentifier] = booleanVector
+		self._declaredItems.append(booleanVector)
+
+		bitVector = ArrayType("bit_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("bit")), None)
+		self._types[bitVector._normalizedIdentifier] = bitVector
+		self._declaredItems.append(bitVector)
+
+		integerVector = ArrayType("integer_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("integer")), None)
+		self._types[integerVector._normalizedIdentifier] = integerVector
+		self._declaredItems.append(integerVector)
+
+		# real_vector
+
+		timeVector = ArrayType("time_vector", (SimpleSubtypeSymbol(SimpleName("natural")),), SimpleSubtypeSymbol(SimpleName("time")), None)
+		self._types[timeVector._normalizedIdentifier] = timeVector
+		self._declaredItems.append(timeVector)
+
+		fileOpenKinds = "read_mode", "write_mode", "append_mode"
+		openFileKind = EnumeratedType("open_file_kind", [EnumerationLiteral(kind) for kind in fileOpenKinds], None)
+		self._types[openFileKind._normalizedIdentifier] = openFileKind
+		self._declaredItems.append(openFileKind)
+
+		fileOpenStati = "open_ok", "status_error", "name_error", "mode_error"
+		fileOpenStatus = EnumeratedType("open_file_status", [EnumerationLiteral(status) for status in fileOpenStati], None)
+		self._types[fileOpenStatus._normalizedIdentifier] = fileOpenStatus
+		self._declaredItems.append(fileOpenStatus)
+
+		# attribute foreign
+
+
+@export
+class Standard_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``std.standard``.
+
+	.. seealso::
+
+	   Matching :class:`Package <pyVHDLModel.STD.Standard>` declaration.
+	"""
+
+
+@export
+class TextIO(PredefinedPackage):
+	"""
+	Predefined package ``std.textio``.
+
+	.. seealso::
+
+	   Matching :class:`Package Body <pyVHDLModel.STD.TextIO_Body>` declaration.
+	"""
+
+
+@export
+class TextIO_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``std.textio``.
+
+	.. seealso::
+
+	   Matching :class:`Package <pyVHDLModel.STD.TextIO>` declaration.
+	"""
+
+
+@export
+class Env(PredefinedPackage):
+	"""
+	Predefined package ``std.env``.
+
+	.. seealso::
+
+	   Matching :class:`Package Body <pyVHDLModel.STD.Env_Body>` declaration.
+	"""
+
+	def __init__(self) -> None:
+		super().__init__()
+
+		self._AddPackageClause(("work.textio.all",))
+
+
+@export
+class Env_Body(PredefinedPackageBody):
+	"""
+	Predefined package body of package ``std.env``.
+
+	.. seealso::
+
+	   Matching :class:`Package <pyVHDLModel.STD.Env>` declaration.
+	"""
+
+
+PACKAGES = (
+	(Standard, Standard_Body),
+	(TextIO, TextIO_Body),
+	(Env, Env_Body),
+)
+
+ + diff --git a/typing/html/pyVHDLModel/Sequential.py.html b/typing/html/pyVHDLModel/Sequential.py.html new file mode 100644 index 000000000..fbee07366 --- /dev/null +++ b/typing/html/pyVHDLModel/Sequential.py.html @@ -0,0 +1,1063 @@ + + + + + + +

pyVHDLModel.Sequential

+ + + + + + +
pyVHDLModel/Sequential.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+402
+403
+404
+405
+406
+407
+408
+409
+410
+411
+412
+413
+414
+415
+416
+417
+418
+419
+420
+421
+422
+423
+424
+425
+426
+427
+428
+429
+430
+431
+432
+433
+434
+435
+436
+437
+438
+439
+440
+441
+442
+443
+444
+445
+446
+447
+448
+449
+450
+451
+452
+453
+454
+455
+456
+457
+458
+459
+460
+461
+462
+463
+464
+465
+466
+467
+468
+469
+470
+471
+472
+473
+474
+475
+476
+477
+478
+479
+480
+481
+482
+483
+484
+485
+486
+487
+488
+489
+490
+491
+492
+493
+494
+495
+496
+497
+498
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Declarations for sequential statements.
+"""
+from typing                  import List, Iterable, Optional as Nullable
+
+from pyTooling.Decorators    import export, readonly
+from pyTooling.MetaClasses   import ExtendedType
+
+from pyVHDLModel.Base        import ModelEntity, ExpressionUnion, Range, BaseChoice, BaseCase, ConditionalMixin, IfBranchMixin, ElsifBranchMixin
+from pyVHDLModel.Base        import ElseBranchMixin, ReportStatementMixin, AssertStatementMixin, WaveformElement
+from pyVHDLModel.Symbol      import Symbol
+from pyVHDLModel.Common      import Statement, ProcedureCallMixin
+from pyVHDLModel.Common      import SignalAssignmentMixin, VariableAssignmentMixin
+from pyVHDLModel.Association import ParameterAssociationItem
+
+
+@export
+class SequentialStatement(Statement):
+	"""A ``SequentialStatement`` is a base-class for all sequential statements."""
+
+
+@export
+class SequentialStatementsMixin(metaclass=ExtendedType, mixin=True):
+	_statements: List[SequentialStatement]
+
+	def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None) -> None:
+		# TODO: extract to mixin
+		self._statements = []
+		if statements is not None:
+			for item in statements:
+				self._statements.append(item)
+				item._parent = self
+
+	@readonly
+	def Statements(self) -> List[SequentialStatement]:
+		"""
+		Read-only property to access the list of sequential statements (:attr:`_statements`).
+
+		:returns: A list of sequential statements.
+		"""
+		return self._statements
+
+
+@export
+class SequentialProcedureCall(SequentialStatement, ProcedureCallMixin):
+	def __init__(
+		self,
+		procedureName: Symbol,
+		parameterMappings: Nullable[Iterable[ParameterAssociationItem]] = None,
+		label: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+		ProcedureCallMixin.__init__(self, procedureName, parameterMappings)
+
+
+@export
+class SequentialSignalAssignment(SequentialStatement, SignalAssignmentMixin):
+	def __init__(self, target: Symbol, label: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(label, parent)
+		SignalAssignmentMixin.__init__(self, target)
+
+
+@export
+class SequentialSimpleSignalAssignment(SequentialSignalAssignment):
+	_waveform: List[WaveformElement]
+
+	def __init__(self, target: Symbol, waveform: Iterable[WaveformElement], label: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(target, label, parent)
+
+		# TODO: extract to mixin
+		self._waveform = []
+		if waveform is not None:
+			for waveformElement in waveform:
+				self._waveform.append(waveformElement)
+				waveformElement._parent = self
+
+	@readonly
+	def Waveform(self) -> List[WaveformElement]:
+		"""
+		Read-only property to access the list waveform elements (:attr:`_waveform`).
+
+		:returns: A list of waveform elements.
+		"""
+		return self._waveform
+
+
+@export
+class SequentialVariableAssignment(SequentialStatement, VariableAssignmentMixin):
+	def __init__(self, target: Symbol, expression: ExpressionUnion, label: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(label, parent)
+		VariableAssignmentMixin.__init__(self, target, expression)
+
+
+@export
+class SequentialReportStatement(SequentialStatement, ReportStatementMixin):
+	def __init__(self, message: ExpressionUnion, severity: Nullable[ExpressionUnion] = None, label: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(label, parent)
+		ReportStatementMixin.__init__(self, message, severity)
+
+
+@export
+class SequentialAssertStatement(SequentialStatement, AssertStatementMixin):
+	def __init__(
+		self,
+		condition: ExpressionUnion,
+		message: Nullable[ExpressionUnion] = None,
+		severity: Nullable[ExpressionUnion] = None,
+		label: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+		AssertStatementMixin.__init__(self, condition, message, severity)
+
+
+@export
+class CompoundStatement(SequentialStatement):
+	"""A ``CompoundStatement`` is a base-class for all compound statements."""
+
+
+@export
+class Branch(ModelEntity, SequentialStatementsMixin):
+	"""A ``Branch`` is a base-class for all branches in a if statement."""
+
+	def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+		SequentialStatementsMixin.__init__(self, statements)
+
+
+@export
+class IfBranch(Branch, IfBranchMixin):
+	def __init__(self, condition: ExpressionUnion, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None:
+		super().__init__(statements, parent)
+		IfBranchMixin.__init__(self, condition)
+
+
+@export
+class ElsifBranch(Branch, ElsifBranchMixin):
+	def __init__(self, condition: ExpressionUnion, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None:
+		super().__init__(statements, parent)
+		ElsifBranchMixin.__init__(self, condition)
+
+
+@export
+class ElseBranch(Branch, ElseBranchMixin):
+	def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None:
+		super().__init__(statements, parent)
+		ElseBranchMixin.__init__(self)
+
+
+@export
+class IfStatement(CompoundStatement):
+	_ifBranch: IfBranch
+	_elsifBranches: List['ElsifBranch']
+	_elseBranch: Nullable[ElseBranch]
+
+	def __init__(
+		self,
+		ifBranch: IfBranch,
+		elsifBranches: Nullable[Iterable[ElsifBranch]] = None,
+		elseBranch: Nullable[ElseBranch] = None,
+		label: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+
+		self._ifBranch = ifBranch
+		ifBranch._parent = self
+
+		self._elsifBranches = []
+		if elsifBranches is not None:
+			for branch in elsifBranches:
+				self._elsifBranches.append(branch)
+				branch._parent = self
+
+		if elseBranch is not None:
+			self._elseBranch = elseBranch
+			elseBranch._parent = self
+		else:
+			self._elseBranch = None
+
+	@readonly
+	def IfBranch(self) -> IfBranch:
+		"""
+		Read-only property to access the if-branch of the if-statement (:attr:`_ifBranch`).
+
+		:returns: The if-branch.
+		"""
+		return self._ifBranch
+
+	@property
+	def ElsIfBranches(self) -> List['ElsifBranch']:
+		"""
+		Read-only property to access the elsif-branch of the if-statement (:attr:`_elsifBranch`).
+
+		:returns: The elsif-branch.
+		"""
+		return self._elsifBranches
+
+	@property
+	def ElseBranch(self) -> Nullable[ElseBranch]:
+		"""
+		Read-only property to access the else-branch of the if-statement (:attr:`_elseBranch`).
+
+		:returns: The else-branch.
+		"""
+		return self._elseBranch
+
+
+@export
+class SequentialChoice(BaseChoice):
+	"""A ``SequentialChoice`` is a base-class for all sequential choices (in case statements)."""
+
+
+@export
+class IndexedChoice(SequentialChoice):
+	_expression: ExpressionUnion
+
+	def __init__(self, expression: ExpressionUnion, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._expression = expression
+		# expression._parent = self    # FIXME: received None
+
+	@property
+	def Expression(self) -> ExpressionUnion:
+		return self._expression
+
+	def __str__(self) -> str:
+		return str(self._expression)
+
+
+@export
+class RangedChoice(SequentialChoice):
+	_range: 'Range'
+
+	def __init__(self, rng: 'Range', parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+
+		self._range = rng
+		rng._parent = self
+
+	@property
+	def Range(self) -> 'Range':
+		return self._range
+
+	def __str__(self) -> str:
+		return str(self._range)
+
+
+@export
+class SequentialCase(BaseCase, SequentialStatementsMixin):
+	_choices: List
+
+	def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+		SequentialStatementsMixin.__init__(self, statements)
+
+		# TODO: what about choices?
+
+	@property
+	def Choices(self) -> List[BaseChoice]:
+		return self._choices
+
+
+@export
+class Case(SequentialCase):
+	def __init__(self, choices: Iterable[SequentialChoice], statements: Nullable[Iterable[SequentialStatement]] = None, parent: ModelEntity = None) -> None:
+		super().__init__(statements, parent)
+
+		self._choices = []
+		if choices is not None:
+			for choice in choices:
+				self._choices.append(choice)
+				choice._parent = self
+
+	@property
+	def Choices(self) -> List[SequentialChoice]:
+		return self._choices
+
+	def __str__(self) -> str:
+		return "when {choices} =>".format(choices=" | ".join(str(c) for c in self._choices))
+
+
+@export
+class OthersCase(SequentialCase):
+	def __str__(self) -> str:
+		return "when others =>"
+
+
+@export
+class CaseStatement(CompoundStatement):
+	_expression: ExpressionUnion
+	_cases:      List[SequentialCase]
+
+	def __init__(self, expression: ExpressionUnion, cases: Iterable[SequentialCase], label: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(label, parent)
+
+		self._expression = expression
+		expression._parent = self
+
+		self._cases = []
+		if cases is not None:
+			for case in cases:
+				self._cases.append(case)
+				case._parent = self
+
+	@property
+	def SelectExpression(self) -> ExpressionUnion:
+		return self._expression
+
+	@property
+	def Cases(self) -> List[SequentialCase]:
+		return self._cases
+
+
+@export
+class LoopStatement(CompoundStatement, SequentialStatementsMixin):
+	"""A ``LoopStatement`` is a base-class for all loop statements."""
+
+	def __init__(self, statements: Nullable[Iterable[SequentialStatement]] = None, label: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(label, parent)
+		SequentialStatementsMixin.__init__(self, statements)
+
+
+@export
+class EndlessLoopStatement(LoopStatement):
+	pass
+
+
+@export
+class ForLoopStatement(LoopStatement):
+	_loopIndex: str
+	_range:     Range
+
+	def __init__(self, loopIndex: str, rng: Range, statements: Nullable[Iterable[SequentialStatement]] = None, label: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(statements, label, parent)
+
+		self._loopIndex = loopIndex
+
+		self._range = rng
+		rng._parent = self
+
+	@property
+	def LoopIndex(self) -> str:
+		return self._loopIndex
+
+	@property
+	def Range(self) -> Range:
+		return self._range
+
+
+@export
+class WhileLoopStatement(LoopStatement, ConditionalMixin):
+	def __init__(
+		self,
+		condition: ExpressionUnion,
+		statements: Nullable[Iterable[SequentialStatement]] = None,
+		label: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(statements, label, parent)
+		ConditionalMixin.__init__(self, condition)
+
+
+@export
+class LoopControlStatement(SequentialStatement, ConditionalMixin):
+	"""A ``LoopControlStatement`` is a base-class for all loop controlling statements."""
+
+	_loopReference: LoopStatement
+
+	def __init__(self, condition: Nullable[ExpressionUnion] = None, loopLabel: Nullable[str] = None, parent: ModelEntity = None) -> None:  # TODO: is this label (currently str) a Name or a Label class?
+		super().__init__(parent)
+		ConditionalMixin.__init__(self, condition)
+
+		# TODO: loopLabel
+		# TODO: loop reference -> is it a symbol?
+
+	@property
+	def LoopReference(self) -> LoopStatement:
+		return self._loopReference
+
+
+@export
+class NextStatement(LoopControlStatement):
+	pass
+
+
+@export
+class ExitStatement(LoopControlStatement):
+	pass
+
+
+@export
+class NullStatement(SequentialStatement):
+	pass
+
+
+@export
+class ReturnStatement(SequentialStatement, ConditionalMixin):
+	_returnValue: ExpressionUnion
+
+	def __init__(self, returnValue: Nullable[ExpressionUnion] = None, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+		ConditionalMixin.__init__(self, returnValue)
+
+		# TODO: return value?
+
+	@property
+	def ReturnValue(self) -> ExpressionUnion:
+		return self._returnValue
+
+
+@export
+class WaitStatement(SequentialStatement, ConditionalMixin):
+	_sensitivityList: Nullable[List[Symbol]]
+	_timeout:         ExpressionUnion
+
+	def __init__(
+		self,
+		sensitivityList: Nullable[Iterable[Symbol]] = None,
+		condition: Nullable[ExpressionUnion] = None,
+		timeout: Nullable[ExpressionUnion] = None,
+		label: Nullable[str] = None,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(label, parent)
+		ConditionalMixin.__init__(self, condition)
+
+		if sensitivityList is None:
+			self._sensitivityList = None
+		else:
+			self._sensitivityList = []  # TODO: convert to dict
+			for signalSymbol in sensitivityList:
+				self._sensitivityList.append(signalSymbol)
+				signalSymbol._parent = self
+
+		self._timeout = timeout
+		if timeout is not None:
+			timeout._parent = self
+
+	@property
+	def SensitivityList(self) -> List[Symbol]:
+		return self._sensitivityList
+
+	@property
+	def Timeout(self) -> ExpressionUnion:
+		return self._timeout
+
+
+@export
+class SequentialDeclarationsMixin(metaclass=ExtendedType, mixin=True):
+	_declaredItems: List
+
+	def __init__(self, declaredItems: Iterable) -> None:
+		# TODO: extract to mixin
+		self._declaredItems = []  # TODO: convert to dict
+		if declaredItems is not None:
+			for item in declaredItems:
+				self._declaredItems.append(item)
+				item._parent = self
+
+	@property
+	def DeclaredItems(self) -> List:
+		return self._declaredItems
+
+ + diff --git a/typing/html/pyVHDLModel/Subprogram.py.html b/typing/html/pyVHDLModel/Subprogram.py.html new file mode 100644 index 000000000..feb65b257 --- /dev/null +++ b/typing/html/pyVHDLModel/Subprogram.py.html @@ -0,0 +1,299 @@ + + + + + + +

pyVHDLModel.Subprogram

+ + + + + + +
pyVHDLModel/Subprogram.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Subprograms are procedures, functions and methods.
+"""
+from typing                 import List, Optional as Nullable
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin, DocumentedEntityMixin
+from pyVHDLModel.Type       import Subtype, ProtectedType
+from pyVHDLModel.Sequential import SequentialStatement
+
+
+@export
+class Subprogram(ModelEntity, NamedEntityMixin, DocumentedEntityMixin):
+	_genericItems:   List['GenericInterfaceItem']
+	_parameterItems: List['ParameterInterfaceItem']
+	_declaredItems:  List
+	_statements:     List['SequentialStatement']
+	_isPure:         bool
+
+	def __init__(self, identifier: str, isPure: bool, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+		NamedEntityMixin.__init__(self, identifier)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		self._genericItems =    []  # TODO: convert to dict
+		self._parameterItems =  []  # TODO: convert to dict
+		self._declaredItems =   []  # TODO: use mixin class
+		self._statements =      []  # TODO: use mixin class
+		self._isPure =          isPure
+
+	@readonly
+	def GenericItems(self) -> List['GenericInterfaceItem']:
+		return self._genericItems
+
+	@readonly
+	def ParameterItems(self) -> List['ParameterInterfaceItem']:
+		return self._parameterItems
+
+	@readonly
+	def DeclaredItems(self) -> List:
+		return self._declaredItems
+
+	@readonly
+	def Statements(self) -> List['SequentialStatement']:
+		return self._statements
+
+	@readonly
+	def IsPure(self) -> bool:
+		return self._isPure
+
+
+@export
+class Procedure(Subprogram):
+	def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, False, documentation, parent)
+
+
+@export
+class Function(Subprogram):
+	_returnType: Subtype
+
+	def __init__(self, identifier: str, isPure: bool = True, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, isPure, documentation, parent)
+
+		# FIXME: return type is missing
+
+	@readonly
+	def ReturnType(self) -> Subtype:
+		return self._returnType
+
+
+@export
+class MethodMixin(metaclass=ExtendedType, mixin=True):
+	"""A ``Method`` is a mixin class for all subprograms in a protected type."""
+
+	_protectedType: ProtectedType
+
+	def __init__(self, protectedType: ProtectedType) -> None:
+		self._protectedType = protectedType
+		protectedType._parent = self
+
+	@readonly
+	def ProtectedType(self) -> ProtectedType:
+		return self._protectedType
+
+
+@export
+class ProcedureMethod(Procedure, MethodMixin):
+	def __init__(self, identifier: str, documentation: Nullable[str] = None, protectedType: Nullable[ProtectedType] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, documentation, parent)
+		MethodMixin.__init__(self, protectedType)
+
+
+@export
+class FunctionMethod(Function, MethodMixin):
+	def __init__(self, identifier: str, isPure: bool = True, documentation: Nullable[str] = None, protectedType: Nullable[ProtectedType] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, isPure, documentation, parent)
+		MethodMixin.__init__(self, protectedType)
+
+ + diff --git a/typing/html/pyVHDLModel/Symbol.py.html b/typing/html/pyVHDLModel/Symbol.py.html new file mode 100644 index 000000000..e11ae2db6 --- /dev/null +++ b/typing/html/pyVHDLModel/Symbol.py.html @@ -0,0 +1,1035 @@ + + + + + + +

pyVHDLModel.Symbol

+ + + + + + +
pyVHDLModel/Symbol.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+402
+403
+404
+405
+406
+407
+408
+409
+410
+411
+412
+413
+414
+415
+416
+417
+418
+419
+420
+421
+422
+423
+424
+425
+426
+427
+428
+429
+430
+431
+432
+433
+434
+435
+436
+437
+438
+439
+440
+441
+442
+443
+444
+445
+446
+447
+448
+449
+450
+451
+452
+453
+454
+455
+456
+457
+458
+459
+460
+461
+462
+463
+464
+465
+466
+467
+468
+469
+470
+471
+472
+473
+474
+475
+476
+477
+478
+479
+480
+481
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Symbols are entity specific wrappers for names that reference VHDL language entities.
+"""
+from enum                  import Flag, auto
+from typing                import Any, Optional as Nullable, Iterable, List, Dict, Mapping
+
+from pyTooling.Decorators  import export, readonly
+from pyTooling.MetaClasses import ExtendedType
+
+from pyVHDLModel.Base      import Range
+from pyVHDLModel.Name      import Name, AllName
+
+
+@export
+class PossibleReference(Flag):
+	"""
+	Is an enumeration, representing possible targets for a reference in a :class:`~pyVHDLModel.Symbol`.
+	"""
+
+	Unknown =         0
+	Library =         auto()  #: Library
+	Entity =          auto()  #: Entity
+	Architecture =    auto()  #: Architecture
+	Component =       auto()  #: Component
+	Package =         auto()  #: Package
+	Configuration =   auto()  #: Configuration
+	Context =         auto()  #: Context
+	Type =            auto()  #: Type
+	Subtype =         auto()  #: Subtype
+	ScalarType =      auto()  #: ScalarType
+	ArrayType =       auto()  #: ArrayType
+	RecordType =      auto()  #: RecordType
+	RecordElement =   auto()  #: RecordElement
+	AccessType =      auto()  #: AccessType
+	ProtectedType =   auto()  #: ProtectedType
+	FileType =        auto()  #: FileType
+#	Alias =           auto()   # TODO: Is this needed?
+	Attribute =       auto()  #: Attribute
+	TypeAttribute =   auto()  #: TypeAttribute
+	ValueAttribute =  auto()  #: ValueAttribute
+	SignalAttribute = auto()  #: SignalAttribute
+	RangeAttribute =  auto()  #: RangeAttribute
+	ViewAttribute =   auto()  #: ViewAttribute
+	Constant =        auto()  #: Constant
+	Variable =        auto()  #: Variable
+	Signal =          auto()  #: Signal
+	File =            auto()  #: File
+#	Object =          auto()   # TODO: Is this needed?
+	EnumLiteral =     auto()  #: EnumLiteral
+	Procedure =       auto()  #: Procedure
+	Function =        auto()  #: Function
+	Label =           auto()  #: Label
+	View =            auto()  #: View
+
+	AnyType = ScalarType | ArrayType | RecordType | ProtectedType | AccessType | FileType | Subtype  #: Any possible type incl. subtypes.
+	Object = Constant | Variable | Signal  # | File                                                     #: Any object
+	SubProgram = Procedure | Function                                                                #: Any subprogram
+	PackageMember = AnyType | Object | SubProgram | Component                                        #: Any member of a package
+	SimpleNameInExpression = Constant | Variable | Signal | ScalarType | EnumLiteral | Function      #: Any possible item in an expression.
+
+
+@export
+class Symbol(metaclass=ExtendedType):
+	"""
+	Base-class for all symbol classes.
+	"""
+
+	_name:               Name               #: The name to reference the langauge entity.
+	_possibleReferences: PossibleReference  #: An enumeration to filter possible references.
+	_reference:          Nullable[Any]      #: The resolved language entity, otherwise ``None``.
+
+	def __init__(self, name: Name, possibleReferences: PossibleReference) -> None:
+		self._name = name
+		self._possibleReferences = possibleReferences
+		self._reference = None
+
+	@readonly
+	def Name(self) -> Name:
+		return self._name
+
+	@readonly
+	def Reference(self) -> Nullable[Any]:
+		return self._reference
+
+	@readonly
+	def IsResolved(self) -> bool:
+		return self._reference is not None
+
+	def __bool__(self) -> bool:
+		return self._reference is not None
+
+	def __repr__(self) -> str:
+		if self._reference is not None:
+			return f"{self.__class__.__name__}: '{self._name!s}' -> {self._reference!s}"
+
+		return f"{self.__class__.__name__}: '{self._name!s}' -> unresolved"
+
+	def __str__(self) -> str:
+		if self._reference is not None:
+			return str(self._reference)
+
+		return f"{self._name!s}?"
+
+
+@export
+class LibraryReferenceSymbol(Symbol):
+	"""
+	Represents a reference (name) to a library.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SimpleName`.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      library ieee;
+	      --      ^^^^
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Library)
+
+	@readonly
+	def Library(self) -> Nullable['Library']:
+		return self._reference
+
+	@Library.setter
+	def Library(self, value: 'Library') -> None:
+		self._reference = value
+
+
+@export
+class PackageReferenceSymbol(Symbol):
+	"""
+	Represents a reference (name) to a package.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SelectedName`.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      use ieee.numeric_std;
+	      --  ^^^^^^^^^^^^^^^^
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Package)
+
+	@property
+	def Package(self) -> Nullable['Package']:
+		return self._reference
+
+	@Package.setter
+	def Package(self, value: 'Package') -> None:
+		self._reference = value
+
+
+@export
+class ContextReferenceSymbol(Symbol):
+	"""
+	Represents a reference (name) to a context.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SelectedName`.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      context ieee.ieee_std_context;
+	      --      ^^^^^^^^^^^^^^^^^^^^^
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Context)
+
+	@property
+	def Context(self) -> 'Context':
+		return self._reference
+
+	@Context.setter
+	def Context(self, value: 'Context') -> None:
+		self._reference = value
+
+
+@export
+class PackageMemberReferenceSymbol(Symbol):
+	"""
+	Represents a reference (name) to a package member.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SelectedName`.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      use ieee.numeric_std.unsigned;
+	      --  ^^^^^^^^^^^^^^^^^^^^^^^^^
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.PackageMember)
+
+	@property
+	def Member(self) -> Nullable['Package']:  # TODO: typehint
+		return self._reference
+
+	@Member.setter
+	def Member(self, value: 'Package') -> None:  # TODO: typehint
+		self._reference = value
+
+
+@export
+class AllPackageMembersReferenceSymbol(Symbol):
+	"""
+	Represents a reference (name) to all package members.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.AllName`.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      use ieee.numeric_std.all;
+	      --  ^^^^^^^^^^^^^^^^^^^^
+	"""
+
+	def __init__(self, name: AllName) -> None:
+		super().__init__(name, PossibleReference.PackageMember)
+
+	@property
+	def Members(self) -> 'Package':  # TODO: typehint
+		return self._reference
+
+	@Members.setter
+	def Members(self, value: 'Package') -> None:  # TODO: typehint
+		self._reference = value
+
+
+@export
+class EntityInstantiationSymbol(Symbol):
+	"""
+	Represents a reference (name) to an entity in a direct entity instantiation.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`.
+
+	.. admonition:: Example
+
+	    .. code-block:: VHDL
+
+	       inst : entity work.Counter;
+	       --            ^^^^^^^^^^^^
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Entity)
+
+	@property
+	def Entity(self) -> 'Entity':
+		return self._reference
+
+	@Entity.setter
+	def Entity(self, value: 'Entity') -> None:
+		self._reference = value
+
+
+@export
+class ComponentInstantiationSymbol(Symbol):
+	"""
+	Represents a reference (name) to an entity in a component instantiation.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`.
+
+	.. admonition:: Example
+
+	    .. code-block:: VHDL
+
+	       inst : component Counter;
+	       --               ^^^^^^^
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Component)
+
+	@property
+	def Component(self) -> 'Component':
+		return self._reference
+
+	@Component.setter
+	def Component(self, value: 'Component') -> None:
+		self._reference = value
+
+
+@export
+class ConfigurationInstantiationSymbol(Symbol):
+	"""
+	Represents a reference (name) to an entity in a configuration instantiation.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`.
+
+	.. admonition:: Example
+
+	    .. code-block:: VHDL
+
+	       inst : configuration Counter;
+	       --                   ^^^^^^^
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Configuration)
+
+	@property
+	def Configuration(self) -> 'Configuration':
+		return self._reference
+
+	@Configuration.setter
+	def Configuration(self, value: 'Configuration') -> None:
+		self._reference = value
+
+
+@export
+class EntitySymbol(Symbol):
+	"""
+	Represents a reference (name) to an entity in an architecture declaration.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      architecture rtl of Counter is
+	      --                  ^^^^^^^
+	      begin
+	      end architecture;
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Entity)
+
+	@property
+	def Entity(self) -> 'Entity':
+		return self._reference
+
+	@Entity.setter
+	def Entity(self, value: 'Entity') -> None:
+		self._reference = value
+
+
+@export
+class ArchitectureSymbol(Symbol):
+	"""An entity reference in an entity instantiation with architecture name."""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Architecture)
+
+	@property
+	def Architecture(self) -> 'Architecture':
+		return self._reference
+
+	@Architecture.setter
+	def Architecture(self, value: 'Architecture') -> None:
+		self._reference = value
+
+
+@export
+class PackageSymbol(Symbol):
+	"""
+	Represents a reference (name) to a package in a package body declaration.
+
+	The internal name will be a :class:`~pyVHDLModel.Name.SimpleName` or :class:`~pyVHDLModel.Name.SelectedName`.
+
+	.. admonition:: Example
+
+	   .. code-block:: VHDL
+
+	      package body Utilities is
+	      --           ^^^^^^^^^
+	      end package body;
+	"""
+
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Package)
+
+	@property
+	def Package(self) -> 'Package':
+		return self._reference
+
+	@Package.setter
+	def Package(self, value: 'Package') -> None:
+		self._reference = value
+
+
+@export
+class RecordElementSymbol(Symbol):
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.RecordElement)
+
+
+@export
+class SubtypeSymbol(Symbol):
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Type | PossibleReference.Subtype)
+
+	@property
+	def Subtype(self) -> 'Subtype':
+		return self._reference
+
+	@Subtype.setter
+	def Subtype(self, value: 'Subtype') -> None:
+		self._reference = value
+
+
+@export
+class SimpleSubtypeSymbol(SubtypeSymbol):
+	pass
+
+
+@export
+class ConstrainedScalarSubtypeSymbol(SubtypeSymbol):
+	pass
+
+
+@export
+class ConstrainedCompositeSubtypeSymbol(SubtypeSymbol):
+	pass
+
+
+@export
+class ConstrainedArraySubtypeSymbol(ConstrainedCompositeSubtypeSymbol):
+	pass
+
+
+@export
+class ConstrainedRecordSubtypeSymbol(ConstrainedCompositeSubtypeSymbol):
+	pass
+
+
+@export
+class SimpleObjectOrFunctionCallSymbol(Symbol):
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.SimpleNameInExpression)
+
+
+@export
+class IndexedObjectOrFunctionCallSymbol(Symbol):
+	def __init__(self, name: Name) -> None:
+		super().__init__(name, PossibleReference.Object | PossibleReference.Function)
+
+ + diff --git a/typing/html/pyVHDLModel/Type.py.html b/typing/html/pyVHDLModel/Type.py.html new file mode 100644 index 000000000..76f0ac573 --- /dev/null +++ b/typing/html/pyVHDLModel/Type.py.html @@ -0,0 +1,819 @@ + + + + + + +

pyVHDLModel.Type

+ + + + + + +
pyVHDLModel/Type.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+This module contains parts of an abstract document language model for VHDL.
+
+Types.
+"""
+from typing                 import Union, List, Iterator, Iterable, Tuple, Optional as Nullable, Dict, Mapping
+
+from pyTooling.Decorators   import export, readonly
+from pyTooling.MetaClasses  import ExtendedType
+from pyTooling.Graph        import Vertex
+
+from pyVHDLModel.Base       import ModelEntity, NamedEntityMixin, MultipleNamedEntityMixin, DocumentedEntityMixin, ExpressionUnion, Range
+from pyVHDLModel.Symbol     import Symbol
+from pyVHDLModel.Name       import Name
+from pyVHDLModel.Expression import EnumerationLiteral, PhysicalIntegerLiteral
+
+
+@export
+class BaseType(ModelEntity, NamedEntityMixin, DocumentedEntityMixin):
+	"""``BaseType`` is the base-class of all type entities in this model."""
+
+	_objectVertex: Vertex
+
+	def __init__(self, identifier: str, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		"""
+		Initializes underlying ``BaseType``.
+
+		:param identifier: Name of the type.
+		:param parent:     Reference to the logical parent in the model hierarchy.
+		"""
+		super().__init__(parent)
+		NamedEntityMixin.__init__(self, identifier)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		_objectVertex = None
+
+
+@export
+class Type(BaseType):
+	pass
+
+
+@export
+class AnonymousType(Type):
+	pass
+
+
+@export
+class FullType(BaseType):
+	pass
+
+
+@export
+class Subtype(BaseType):
+	_type:               Symbol
+	_baseType:           BaseType
+	_range:              Range
+	_resolutionFunction: 'Function'
+
+	def __init__(self, identifier: str, symbol: Symbol, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, parent)
+
+		self._type = symbol
+		self._baseType = None
+		self._range = None
+		self._resolutionFunction = None
+
+	@readonly
+	def Type(self) -> Symbol:
+		return self._type
+
+	@readonly
+	def BaseType(self) -> BaseType:
+		return self._baseType
+
+	@readonly
+	def Range(self) -> Range:
+		return self._range
+
+	@readonly
+	def ResolutionFunction(self) -> 'Function':
+		return self._resolutionFunction
+
+	def __str__(self) -> str:
+		return f"subtype {self._identifier} is {self._baseType}"
+
+
+@export
+class ScalarType(FullType):
+	"""A ``ScalarType`` is a base-class for all scalar types."""
+
+
+@export
+class RangedScalarType(ScalarType):
+	"""A ``RangedScalarType`` is a base-class for all scalar types with a range."""
+
+	_range:      Union[Range, Name]
+	_leftBound:  ExpressionUnion
+	_rightBound: ExpressionUnion
+
+	def __init__(self, identifier: str, rng: Union[Range, Name], parent: ModelEntity = None) -> None:
+		super().__init__(identifier, parent)
+		self._range = rng
+
+	@readonly
+	def Range(self) -> Union[Range, Name]:
+		return self._range
+
+
+@export
+class NumericTypeMixin(metaclass=ExtendedType, mixin=True):
+	"""A ``NumericType`` is a mixin class for all numeric types."""
+
+	def __init__(self) -> None:
+		pass
+
+
+@export
+class DiscreteTypeMixin(metaclass=ExtendedType, mixin=True):
+	"""A ``DiscreteType`` is a mixin class for all discrete types."""
+
+	def __init__(self) -> None:
+		pass
+
+
+@export
+class EnumeratedType(ScalarType, DiscreteTypeMixin):
+	_literals: List[EnumerationLiteral]
+
+	def __init__(self, identifier: str, literals: Iterable[EnumerationLiteral], parent: ModelEntity = None) -> None:
+		super().__init__(identifier, parent)
+
+		self._literals = []
+		if literals is not None:
+			for literal in literals:
+				self._literals.append(literal)
+				literal._parent = self
+
+	@readonly
+	def Literals(self) -> List[EnumerationLiteral]:
+		return self._literals
+
+	def __str__(self) -> str:
+		return f"{self._identifier} is ({', '.join(str(l) for l in self._literals)})"
+
+
+@export
+class IntegerType(RangedScalarType, NumericTypeMixin, DiscreteTypeMixin):
+	def __init__(self, identifier: str, rng: Union[Range, Name], parent: ModelEntity = None) -> None:
+		super().__init__(identifier, rng, parent)
+
+	def __str__(self) -> str:
+		return f"{self._identifier} is range {self._range}"
+
+
+@export
+class RealType(RangedScalarType, NumericTypeMixin):
+	def __init__(self, identifier: str, rng: Union[Range, Name], parent: ModelEntity = None) -> None:
+		super().__init__(identifier, rng, parent)
+
+	def __str__(self) -> str:
+		return f"{self._identifier} is range {self._range}"
+
+
+@export
+class PhysicalType(RangedScalarType, NumericTypeMixin):
+	_primaryUnit:    str
+	_secondaryUnits: List[Tuple[str, PhysicalIntegerLiteral]]
+
+	def __init__(
+		self,
+		identifier: str,
+		rng: Union[Range, Name],
+		primaryUnit: str,
+		units: Iterable[Tuple[str, PhysicalIntegerLiteral]],
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifier, rng, parent)
+
+		self._primaryUnit = primaryUnit
+
+		self._secondaryUnits = []  # TODO: convert to dict
+		for unit in units:
+			self._secondaryUnits.append(unit)
+			unit[1]._parent = self
+
+	@readonly
+	def PrimaryUnit(self) -> str:
+		return self._primaryUnit
+
+	@property
+	def SecondaryUnits(self) -> List[Tuple[str, PhysicalIntegerLiteral]]:
+		return self._secondaryUnits
+
+	def __str__(self) -> str:
+		return f"{self._identifier} is range {self._range} units {self._primaryUnit}; {'; '.join(su + ' = ' + str(pu) for su, pu in self._secondaryUnits)};"
+
+
+@export
+class CompositeType(FullType):
+	"""A ``CompositeType`` is a base-class for all composite types."""
+
+
+@export
+class ArrayType(CompositeType):
+	_dimensions:  List[Range]
+	_elementType: Symbol
+
+	def __init__(
+		self,
+		identifier: str,
+		indices: Iterable,
+		elementSubtype: Symbol,
+		parent: ModelEntity = None
+	) -> None:
+		super().__init__(identifier, parent)
+
+		self._dimensions = []
+		for index in indices:
+			self._dimensions.append(index)
+			# index._parent = self  # FIXME: indices are provided as empty list
+
+		self._elementType = elementSubtype
+		# elementSubtype._parent = self   # FIXME: subtype is provided as None
+
+	@property
+	def Dimensions(self) -> List[Range]:
+		return self._dimensions
+
+	@property
+	def ElementType(self) -> Symbol:
+		return self._elementType
+
+	def __str__(self) -> str:
+		return f"{self._identifier} is array({'; '.join(str(r) for r in self._dimensions)}) of {self._elementType}"
+
+
+@export
+class RecordTypeElement(ModelEntity, MultipleNamedEntityMixin):
+	_subtype: Symbol
+
+	def __init__(self, identifiers: Iterable[str], subtype: Symbol, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+		MultipleNamedEntityMixin.__init__(self, identifiers)
+
+		self._subtype = subtype
+		subtype._parent = self
+
+	@property
+	def Subtype(self) -> Symbol:
+		return self._subtype
+
+	def __str__(self) -> str:
+		return f"{', '.join(self._identifiers)} : {self._subtype}"
+
+
+@export
+class RecordType(CompositeType):
+	_elements: List[RecordTypeElement]
+
+	def __init__(self, identifier: str, elements: Nullable[Iterable[RecordTypeElement]] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, parent)
+
+		self._elements = []  # TODO: convert to dict
+		if elements is not None:
+			for element in elements:
+				self._elements.append(element)
+				element._parent = self
+
+	@property
+	def Elements(self) -> List[RecordTypeElement]:
+		return self._elements
+
+	def __str__(self) -> str:
+		return f"{self._identifier} is record {'; '.join(str(re) for re in self._elements)};"
+
+
+@export
+class ProtectedType(FullType):
+	_methods: List[Union['Procedure', 'Function']]
+
+	def __init__(self, identifier: str, methods: Union[List, Iterator] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, parent)
+
+		self._methods = []
+		if methods is not None:
+			for method in methods:
+				self._methods.append(method)
+				method._parent = self
+
+	@property
+	def Methods(self) -> List[Union['Procedure', 'Function']]:
+		return self._methods
+
+
+@export
+class ProtectedTypeBody(FullType):
+	_methods: List[Union['Procedure', 'Function']]
+
+	def __init__(self, identifier: str, declaredItems: Union[List, Iterator] = None, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, parent)
+
+		self._methods = []
+		if declaredItems is not None:
+			for method in declaredItems:
+				self._methods.append(method)
+				method._parent = self
+
+	# FIXME: needs to be declared items or so
+	@property
+	def Methods(self) -> List[Union['Procedure', 'Function']]:
+		return self._methods
+
+
+@export
+class AccessType(FullType):
+	_designatedSubtype: Symbol
+
+	def __init__(self, identifier: str, designatedSubtype: Symbol, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, parent)
+
+		self._designatedSubtype = designatedSubtype
+		designatedSubtype._parent = self
+
+	@property
+	def DesignatedSubtype(self):
+		return self._designatedSubtype
+
+	def __str__(self) -> str:
+		return f"{self._identifier} is access {self._designatedSubtype}"
+
+
+@export
+class FileType(FullType):
+	_designatedSubtype: Symbol
+
+	def __init__(self, identifier: str, designatedSubtype: Symbol, parent: ModelEntity = None) -> None:
+		super().__init__(identifier, parent)
+
+		self._designatedSubtype = designatedSubtype
+		designatedSubtype._parent = self
+
+	@property
+	def DesignatedSubtype(self):
+		return self._designatedSubtype
+
+	def __str__(self) -> str:
+		return f"{self._identifier} is access {self._designatedSubtype}"
+
+ + diff --git a/typing/html/pyVHDLModel/__init__.py.html b/typing/html/pyVHDLModel/__init__.py.html new file mode 100644 index 000000000..8c1731e55 --- /dev/null +++ b/typing/html/pyVHDLModel/__init__.py.html @@ -0,0 +1,5577 @@ + + + + + + +

pyVHDLModel

+ + + + + + +
pyVHDLModel/__init__.py
1
+2
+3
+4
+5
+6
+7
+8
+9
+10
+11
+12
+13
+14
+15
+16
+17
+18
+19
+20
+21
+22
+23
+24
+25
+26
+27
+28
+29
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+40
+41
+42
+43
+44
+45
+46
+47
+48
+49
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+60
+61
+62
+63
+64
+65
+66
+67
+68
+69
+70
+71
+72
+73
+74
+75
+76
+77
+78
+79
+80
+81
+82
+83
+84
+85
+86
+87
+88
+89
+90
+91
+92
+93
+94
+95
+96
+97
+98
+99
+100
+101
+102
+103
+104
+105
+106
+107
+108
+109
+110
+111
+112
+113
+114
+115
+116
+117
+118
+119
+120
+121
+122
+123
+124
+125
+126
+127
+128
+129
+130
+131
+132
+133
+134
+135
+136
+137
+138
+139
+140
+141
+142
+143
+144
+145
+146
+147
+148
+149
+150
+151
+152
+153
+154
+155
+156
+157
+158
+159
+160
+161
+162
+163
+164
+165
+166
+167
+168
+169
+170
+171
+172
+173
+174
+175
+176
+177
+178
+179
+180
+181
+182
+183
+184
+185
+186
+187
+188
+189
+190
+191
+192
+193
+194
+195
+196
+197
+198
+199
+200
+201
+202
+203
+204
+205
+206
+207
+208
+209
+210
+211
+212
+213
+214
+215
+216
+217
+218
+219
+220
+221
+222
+223
+224
+225
+226
+227
+228
+229
+230
+231
+232
+233
+234
+235
+236
+237
+238
+239
+240
+241
+242
+243
+244
+245
+246
+247
+248
+249
+250
+251
+252
+253
+254
+255
+256
+257
+258
+259
+260
+261
+262
+263
+264
+265
+266
+267
+268
+269
+270
+271
+272
+273
+274
+275
+276
+277
+278
+279
+280
+281
+282
+283
+284
+285
+286
+287
+288
+289
+290
+291
+292
+293
+294
+295
+296
+297
+298
+299
+300
+301
+302
+303
+304
+305
+306
+307
+308
+309
+310
+311
+312
+313
+314
+315
+316
+317
+318
+319
+320
+321
+322
+323
+324
+325
+326
+327
+328
+329
+330
+331
+332
+333
+334
+335
+336
+337
+338
+339
+340
+341
+342
+343
+344
+345
+346
+347
+348
+349
+350
+351
+352
+353
+354
+355
+356
+357
+358
+359
+360
+361
+362
+363
+364
+365
+366
+367
+368
+369
+370
+371
+372
+373
+374
+375
+376
+377
+378
+379
+380
+381
+382
+383
+384
+385
+386
+387
+388
+389
+390
+391
+392
+393
+394
+395
+396
+397
+398
+399
+400
+401
+402
+403
+404
+405
+406
+407
+408
+409
+410
+411
+412
+413
+414
+415
+416
+417
+418
+419
+420
+421
+422
+423
+424
+425
+426
+427
+428
+429
+430
+431
+432
+433
+434
+435
+436
+437
+438
+439
+440
+441
+442
+443
+444
+445
+446
+447
+448
+449
+450
+451
+452
+453
+454
+455
+456
+457
+458
+459
+460
+461
+462
+463
+464
+465
+466
+467
+468
+469
+470
+471
+472
+473
+474
+475
+476
+477
+478
+479
+480
+481
+482
+483
+484
+485
+486
+487
+488
+489
+490
+491
+492
+493
+494
+495
+496
+497
+498
+499
+500
+501
+502
+503
+504
+505
+506
+507
+508
+509
+510
+511
+512
+513
+514
+515
+516
+517
+518
+519
+520
+521
+522
+523
+524
+525
+526
+527
+528
+529
+530
+531
+532
+533
+534
+535
+536
+537
+538
+539
+540
+541
+542
+543
+544
+545
+546
+547
+548
+549
+550
+551
+552
+553
+554
+555
+556
+557
+558
+559
+560
+561
+562
+563
+564
+565
+566
+567
+568
+569
+570
+571
+572
+573
+574
+575
+576
+577
+578
+579
+580
+581
+582
+583
+584
+585
+586
+587
+588
+589
+590
+591
+592
+593
+594
+595
+596
+597
+598
+599
+600
+601
+602
+603
+604
+605
+606
+607
+608
+609
+610
+611
+612
+613
+614
+615
+616
+617
+618
+619
+620
+621
+622
+623
+624
+625
+626
+627
+628
+629
+630
+631
+632
+633
+634
+635
+636
+637
+638
+639
+640
+641
+642
+643
+644
+645
+646
+647
+648
+649
+650
+651
+652
+653
+654
+655
+656
+657
+658
+659
+660
+661
+662
+663
+664
+665
+666
+667
+668
+669
+670
+671
+672
+673
+674
+675
+676
+677
+678
+679
+680
+681
+682
+683
+684
+685
+686
+687
+688
+689
+690
+691
+692
+693
+694
+695
+696
+697
+698
+699
+700
+701
+702
+703
+704
+705
+706
+707
+708
+709
+710
+711
+712
+713
+714
+715
+716
+717
+718
+719
+720
+721
+722
+723
+724
+725
+726
+727
+728
+729
+730
+731
+732
+733
+734
+735
+736
+737
+738
+739
+740
+741
+742
+743
+744
+745
+746
+747
+748
+749
+750
+751
+752
+753
+754
+755
+756
+757
+758
+759
+760
+761
+762
+763
+764
+765
+766
+767
+768
+769
+770
+771
+772
+773
+774
+775
+776
+777
+778
+779
+780
+781
+782
+783
+784
+785
+786
+787
+788
+789
+790
+791
+792
+793
+794
+795
+796
+797
+798
+799
+800
+801
+802
+803
+804
+805
+806
+807
+808
+809
+810
+811
+812
+813
+814
+815
+816
+817
+818
+819
+820
+821
+822
+823
+824
+825
+826
+827
+828
+829
+830
+831
+832
+833
+834
+835
+836
+837
+838
+839
+840
+841
+842
+843
+844
+845
+846
+847
+848
+849
+850
+851
+852
+853
+854
+855
+856
+857
+858
+859
+860
+861
+862
+863
+864
+865
+866
+867
+868
+869
+870
+871
+872
+873
+874
+875
+876
+877
+878
+879
+880
+881
+882
+883
+884
+885
+886
+887
+888
+889
+890
+891
+892
+893
+894
+895
+896
+897
+898
+899
+900
+901
+902
+903
+904
+905
+906
+907
+908
+909
+910
+911
+912
+913
+914
+915
+916
+917
+918
+919
+920
+921
+922
+923
+924
+925
+926
+927
+928
+929
+930
+931
+932
+933
+934
+935
+936
+937
+938
+939
+940
+941
+942
+943
+944
+945
+946
+947
+948
+949
+950
+951
+952
+953
+954
+955
+956
+957
+958
+959
+960
+961
+962
+963
+964
+965
+966
+967
+968
+969
+970
+971
+972
+973
+974
+975
+976
+977
+978
+979
+980
+981
+982
+983
+984
+985
+986
+987
+988
+989
+990
+991
+992
+993
+994
+995
+996
+997
+998
+999
+1000
+1001
+1002
+1003
+1004
+1005
+1006
+1007
+1008
+1009
+1010
+1011
+1012
+1013
+1014
+1015
+1016
+1017
+1018
+1019
+1020
+1021
+1022
+1023
+1024
+1025
+1026
+1027
+1028
+1029
+1030
+1031
+1032
+1033
+1034
+1035
+1036
+1037
+1038
+1039
+1040
+1041
+1042
+1043
+1044
+1045
+1046
+1047
+1048
+1049
+1050
+1051
+1052
+1053
+1054
+1055
+1056
+1057
+1058
+1059
+1060
+1061
+1062
+1063
+1064
+1065
+1066
+1067
+1068
+1069
+1070
+1071
+1072
+1073
+1074
+1075
+1076
+1077
+1078
+1079
+1080
+1081
+1082
+1083
+1084
+1085
+1086
+1087
+1088
+1089
+1090
+1091
+1092
+1093
+1094
+1095
+1096
+1097
+1098
+1099
+1100
+1101
+1102
+1103
+1104
+1105
+1106
+1107
+1108
+1109
+1110
+1111
+1112
+1113
+1114
+1115
+1116
+1117
+1118
+1119
+1120
+1121
+1122
+1123
+1124
+1125
+1126
+1127
+1128
+1129
+1130
+1131
+1132
+1133
+1134
+1135
+1136
+1137
+1138
+1139
+1140
+1141
+1142
+1143
+1144
+1145
+1146
+1147
+1148
+1149
+1150
+1151
+1152
+1153
+1154
+1155
+1156
+1157
+1158
+1159
+1160
+1161
+1162
+1163
+1164
+1165
+1166
+1167
+1168
+1169
+1170
+1171
+1172
+1173
+1174
+1175
+1176
+1177
+1178
+1179
+1180
+1181
+1182
+1183
+1184
+1185
+1186
+1187
+1188
+1189
+1190
+1191
+1192
+1193
+1194
+1195
+1196
+1197
+1198
+1199
+1200
+1201
+1202
+1203
+1204
+1205
+1206
+1207
+1208
+1209
+1210
+1211
+1212
+1213
+1214
+1215
+1216
+1217
+1218
+1219
+1220
+1221
+1222
+1223
+1224
+1225
+1226
+1227
+1228
+1229
+1230
+1231
+1232
+1233
+1234
+1235
+1236
+1237
+1238
+1239
+1240
+1241
+1242
+1243
+1244
+1245
+1246
+1247
+1248
+1249
+1250
+1251
+1252
+1253
+1254
+1255
+1256
+1257
+1258
+1259
+1260
+1261
+1262
+1263
+1264
+1265
+1266
+1267
+1268
+1269
+1270
+1271
+1272
+1273
+1274
+1275
+1276
+1277
+1278
+1279
+1280
+1281
+1282
+1283
+1284
+1285
+1286
+1287
+1288
+1289
+1290
+1291
+1292
+1293
+1294
+1295
+1296
+1297
+1298
+1299
+1300
+1301
+1302
+1303
+1304
+1305
+1306
+1307
+1308
+1309
+1310
+1311
+1312
+1313
+1314
+1315
+1316
+1317
+1318
+1319
+1320
+1321
+1322
+1323
+1324
+1325
+1326
+1327
+1328
+1329
+1330
+1331
+1332
+1333
+1334
+1335
+1336
+1337
+1338
+1339
+1340
+1341
+1342
+1343
+1344
+1345
+1346
+1347
+1348
+1349
+1350
+1351
+1352
+1353
+1354
+1355
+1356
+1357
+1358
+1359
+1360
+1361
+1362
+1363
+1364
+1365
+1366
+1367
+1368
+1369
+1370
+1371
+1372
+1373
+1374
+1375
+1376
+1377
+1378
+1379
+1380
+1381
+1382
+1383
+1384
+1385
+1386
+1387
+1388
+1389
+1390
+1391
+1392
+1393
+1394
+1395
+1396
+1397
+1398
+1399
+1400
+1401
+1402
+1403
+1404
+1405
+1406
+1407
+1408
+1409
+1410
+1411
+1412
+1413
+1414
+1415
+1416
+1417
+1418
+1419
+1420
+1421
+1422
+1423
+1424
+1425
+1426
+1427
+1428
+1429
+1430
+1431
+1432
+1433
+1434
+1435
+1436
+1437
+1438
+1439
+1440
+1441
+1442
+1443
+1444
+1445
+1446
+1447
+1448
+1449
+1450
+1451
+1452
+1453
+1454
+1455
+1456
+1457
+1458
+1459
+1460
+1461
+1462
+1463
+1464
+1465
+1466
+1467
+1468
+1469
+1470
+1471
+1472
+1473
+1474
+1475
+1476
+1477
+1478
+1479
+1480
+1481
+1482
+1483
+1484
+1485
+1486
+1487
+1488
+1489
+1490
+1491
+1492
+1493
+1494
+1495
+1496
+1497
+1498
+1499
+1500
+1501
+1502
+1503
+1504
+1505
+1506
+1507
+1508
+1509
+1510
+1511
+1512
+1513
+1514
+1515
+1516
+1517
+1518
+1519
+1520
+1521
+1522
+1523
+1524
+1525
+1526
+1527
+1528
+1529
+1530
+1531
+1532
+1533
+1534
+1535
+1536
+1537
+1538
+1539
+1540
+1541
+1542
+1543
+1544
+1545
+1546
+1547
+1548
+1549
+1550
+1551
+1552
+1553
+1554
+1555
+1556
+1557
+1558
+1559
+1560
+1561
+1562
+1563
+1564
+1565
+1566
+1567
+1568
+1569
+1570
+1571
+1572
+1573
+1574
+1575
+1576
+1577
+1578
+1579
+1580
+1581
+1582
+1583
+1584
+1585
+1586
+1587
+1588
+1589
+1590
+1591
+1592
+1593
+1594
+1595
+1596
+1597
+1598
+1599
+1600
+1601
+1602
+1603
+1604
+1605
+1606
+1607
+1608
+1609
+1610
+1611
+1612
+1613
+1614
+1615
+1616
+1617
+1618
+1619
+1620
+1621
+1622
+1623
+1624
+1625
+1626
+1627
+1628
+1629
+1630
+1631
+1632
+1633
+1634
+1635
+1636
+1637
+1638
+1639
+1640
+1641
+1642
+1643
+1644
+1645
+1646
+1647
+1648
+1649
+1650
+1651
+1652
+1653
+1654
+1655
+1656
+1657
+1658
+1659
+1660
+1661
+1662
+1663
+1664
+1665
+1666
+1667
+1668
+1669
+1670
+1671
+1672
+1673
+1674
+1675
+1676
+1677
+1678
+1679
+1680
+1681
+1682
+1683
+1684
+1685
+1686
+1687
+1688
+1689
+1690
+1691
+1692
+1693
+1694
+1695
+1696
+1697
+1698
+1699
+1700
+1701
+1702
+1703
+1704
+1705
+1706
+1707
+1708
+1709
+1710
+1711
+1712
+1713
+1714
+1715
+1716
+1717
+1718
+1719
+1720
+1721
+1722
+1723
+1724
+1725
+1726
+1727
+1728
+1729
+1730
+1731
+1732
+1733
+1734
+1735
+1736
+1737
+1738
+1739
+1740
+1741
+1742
+1743
+1744
+1745
+1746
+1747
+1748
+1749
+1750
+1751
+1752
+1753
+1754
+1755
+1756
+1757
+1758
+1759
+1760
+1761
+1762
+1763
+1764
+1765
+1766
+1767
+1768
+1769
+1770
+1771
+1772
+1773
+1774
+1775
+1776
+1777
+1778
+1779
+1780
+1781
+1782
+1783
+1784
+1785
+1786
+1787
+1788
+1789
+1790
+1791
+1792
+1793
+1794
+1795
+1796
+1797
+1798
+1799
+1800
+1801
+1802
+1803
+1804
+1805
+1806
+1807
+1808
+1809
+1810
+1811
+1812
+1813
+1814
+1815
+1816
+1817
+1818
+1819
+1820
+1821
+1822
+1823
+1824
+1825
+1826
+1827
+1828
+1829
+1830
+1831
+1832
+1833
+1834
+1835
+1836
+1837
+1838
+1839
+1840
+1841
+1842
+1843
+1844
+1845
+1846
+1847
+1848
+1849
+1850
+1851
+1852
+1853
+1854
+1855
+1856
+1857
+1858
+1859
+1860
+1861
+1862
+1863
+1864
+1865
+1866
+1867
+1868
+1869
+1870
+1871
+1872
+1873
+1874
+1875
+1876
+1877
+1878
+1879
+1880
+1881
+1882
+1883
+1884
+1885
+1886
+1887
+1888
+1889
+1890
+1891
+1892
+1893
+1894
+1895
+1896
+1897
+1898
+1899
+1900
+1901
+1902
+1903
+1904
+1905
+1906
+1907
+1908
+1909
+1910
+1911
+1912
+1913
+1914
+1915
+1916
+1917
+1918
+1919
+1920
+1921
+1922
+1923
+1924
+1925
+1926
+1927
+1928
+1929
+1930
+1931
+1932
+1933
+1934
+1935
+1936
+1937
+1938
+1939
+1940
+1941
+1942
+1943
+1944
+1945
+1946
+1947
+1948
+1949
+1950
+1951
+1952
+1953
+1954
+1955
+1956
+1957
+1958
+1959
+1960
+1961
+1962
+1963
+1964
+1965
+1966
+1967
+1968
+1969
+1970
+1971
+1972
+1973
+1974
+1975
+1976
+1977
+1978
+1979
+1980
+1981
+1982
+1983
+1984
+1985
+1986
+1987
+1988
+1989
+1990
+1991
+1992
+1993
+1994
+1995
+1996
+1997
+1998
+1999
+2000
+2001
+2002
+2003
+2004
+2005
+2006
+2007
+2008
+2009
+2010
+2011
+2012
+2013
+2014
+2015
+2016
+2017
+2018
+2019
+2020
+2021
+2022
+2023
+2024
+2025
+2026
+2027
+2028
+2029
+2030
+2031
+2032
+2033
+2034
+2035
+2036
+2037
+2038
+2039
+2040
+2041
+2042
+2043
+2044
+2045
+2046
+2047
+2048
+2049
+2050
+2051
+2052
+2053
+2054
+2055
+2056
+2057
+2058
+2059
+2060
+2061
+2062
+2063
+2064
+2065
+2066
+2067
+2068
+2069
+2070
+2071
+2072
+2073
+2074
+2075
+2076
+2077
+2078
+2079
+2080
+2081
+2082
+2083
+2084
+2085
+2086
+2087
+2088
+2089
+2090
+2091
+2092
+2093
+2094
+2095
+2096
+2097
+2098
+2099
+2100
+2101
+2102
+2103
+2104
+2105
+2106
+2107
+2108
+2109
+2110
+2111
+2112
+2113
+2114
+2115
+2116
+2117
+2118
+2119
+2120
+2121
+2122
+2123
+2124
+2125
+2126
+2127
+2128
+2129
+2130
+2131
+2132
+2133
+2134
+2135
+2136
+2137
+2138
+2139
+2140
+2141
+2142
+2143
+2144
+2145
+2146
+2147
+2148
+2149
+2150
+2151
+2152
+2153
+2154
+2155
+2156
+2157
+2158
+2159
+2160
+2161
+2162
+2163
+2164
+2165
+2166
+2167
+2168
+2169
+2170
+2171
+2172
+2173
+2174
+2175
+2176
+2177
+2178
+2179
+2180
+2181
+2182
+2183
+2184
+2185
+2186
+2187
+2188
+2189
+2190
+2191
+2192
+2193
+2194
+2195
+2196
+2197
+2198
+2199
+2200
+2201
+2202
+2203
+2204
+2205
+2206
+2207
+2208
+2209
+2210
+2211
+2212
+2213
+2214
+2215
+2216
+2217
+2218
+2219
+2220
+2221
+2222
+2223
+2224
+2225
+2226
+2227
+2228
+2229
+2230
+2231
+2232
+2233
+2234
+2235
+2236
+2237
+2238
+2239
+2240
+2241
+2242
+2243
+2244
+2245
+2246
+2247
+2248
+2249
+2250
+2251
+2252
+2253
+2254
+2255
+2256
+2257
+2258
+2259
+2260
+2261
+2262
+2263
+2264
+2265
+2266
+2267
+2268
+2269
+2270
+2271
+2272
+2273
+2274
+2275
+2276
+2277
+2278
+2279
+2280
+2281
+2282
+2283
+2284
+2285
+2286
+2287
+2288
+2289
+2290
+2291
+2292
+2293
+2294
+2295
+2296
+2297
+2298
+2299
+2300
+2301
+2302
+2303
+2304
+2305
+2306
+2307
+2308
+2309
+2310
+2311
+2312
+2313
+2314
+2315
+2316
+2317
+2318
+2319
+2320
+2321
+2322
+2323
+2324
+2325
+2326
+2327
+2328
+2329
+2330
+2331
+2332
+2333
+2334
+2335
+2336
+2337
+2338
+2339
+2340
+2341
+2342
+2343
+2344
+2345
+2346
+2347
+2348
+2349
+2350
+2351
+2352
+2353
+2354
+2355
+2356
+2357
+2358
+2359
+2360
+2361
+2362
+2363
+2364
+2365
+2366
+2367
+2368
+2369
+2370
+2371
+2372
+2373
+2374
+2375
+2376
+2377
+2378
+2379
+2380
+2381
+2382
+2383
+2384
+2385
+2386
+2387
+2388
+2389
+2390
+2391
+2392
+2393
+2394
+2395
+2396
+2397
+2398
+2399
+2400
+2401
+2402
+2403
+2404
+2405
+2406
+2407
+2408
+2409
+2410
+2411
+2412
+2413
+2414
+2415
+2416
+2417
+2418
+2419
+2420
+2421
+2422
+2423
+2424
+2425
+2426
+2427
+2428
+2429
+2430
+2431
+2432
+2433
+2434
+2435
+2436
+2437
+2438
+2439
+2440
+2441
+2442
+2443
+2444
+2445
+2446
+2447
+2448
+2449
+2450
+2451
+2452
+2453
+2454
+2455
+2456
+2457
+2458
+2459
+2460
+2461
+2462
+2463
+2464
+2465
+2466
+2467
+2468
+2469
+2470
+2471
+2472
+2473
+2474
+2475
+2476
+2477
+2478
+2479
+2480
+2481
+2482
+2483
+2484
+2485
+2486
+2487
+2488
+2489
+2490
+2491
+2492
+2493
+2494
+2495
+2496
+2497
+2498
+2499
+2500
+2501
+2502
+2503
+2504
+2505
+2506
+2507
+2508
+2509
+2510
+2511
+2512
+2513
+2514
+2515
+2516
+2517
+2518
+2519
+2520
+2521
+2522
+2523
+2524
+2525
+2526
+2527
+2528
+2529
+2530
+2531
+2532
+2533
+2534
+2535
+2536
+2537
+2538
+2539
+2540
+2541
+2542
+2543
+2544
+2545
+2546
+2547
+2548
+2549
+2550
+2551
+2552
+2553
+2554
+2555
+2556
+2557
+2558
+2559
+2560
+2561
+2562
+2563
+2564
+2565
+2566
+2567
+2568
+2569
+2570
+2571
+2572
+2573
+2574
+2575
+
# ==================================================================================================================== #
+#             __     ___   _ ____  _     __  __           _      _                                                     #
+#   _ __  _   \ \   / / | | |  _ \| |   |  \/  | ___   __| | ___| |                                                    #
+#  | '_ \| | | \ \ / /| |_| | | | | |   | |\/| |/ _ \ / _` |/ _ \ |                                                    #
+#  | |_) | |_| |\ V / |  _  | |_| | |___| |  | | (_) | (_| |  __/ |                                                    #
+#  | .__/ \__, | \_/  |_| |_|____/|_____|_|  |_|\___/ \__,_|\___|_|                                                    #
+#  |_|    |___/                                                                                                        #
+# ==================================================================================================================== #
+# Authors:                                                                                                             #
+#   Patrick Lehmann                                                                                                    #
+#                                                                                                                      #
+# License:                                                                                                             #
+# ==================================================================================================================== #
+# Copyright 2017-2024 Patrick Lehmann - Boetzingen, Germany                                                            #
+# Copyright 2016-2017 Patrick Lehmann - Dresden, Germany                                                               #
+#                                                                                                                      #
+# Licensed under the Apache License, Version 2.0 (the "License");                                                      #
+# you may not use this file except in compliance with the License.                                                     #
+# You may obtain a copy of the License at                                                                              #
+#                                                                                                                      #
+#   http://www.apache.org/licenses/LICENSE-2.0                                                                         #
+#                                                                                                                      #
+# Unless required by applicable law or agreed to in writing, software                                                  #
+# distributed under the License is distributed on an "AS IS" BASIS,                                                    #
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.                                             #
+# See the License for the specific language governing permissions and                                                  #
+# limitations under the License.                                                                                       #
+#                                                                                                                      #
+# SPDX-License-Identifier: Apache-2.0                                                                                  #
+# ==================================================================================================================== #
+#
+"""
+**An abstract VHDL language model.**
+
+This package provides a unified abstract language model for VHDL. Projects reading from source files can derive own
+classes and implement additional logic to create a concrete language model for their tools.
+
+Projects consuming pre-processed VHDL data (parsed, analyzed or elaborated) can build higher level features and services
+on such a model, while supporting multiple frontends.
+
+.. admonition:: Copyright Information
+
+   :copyright: Copyright 2017-2024 Patrick Lehmann - Bötzingen, Germany
+   :copyright: Copyright 2016-2017 Patrick Lehmann - Dresden, Germany
+   :license: Apache License, Version 2.0
+"""
+__author__ =    "Patrick Lehmann"
+__email__ =     "Paebbels@gmail.com"
+__copyright__ = "2016-2024, Patrick Lehmann"
+__license__ =   "Apache License, Version 2.0"
+__version__ =   "0.29.0"
+
+
+from enum                      import unique, Enum, Flag, auto
+from pathlib                   import Path
+from sys                       import version_info
+
+from typing                    import Union, Dict, cast, List, Generator, Optional as Nullable
+
+from pyTooling.Common          import getFullyQualifiedName
+from pyTooling.Decorators      import export, readonly
+from pyTooling.Graph           import Graph, Vertex, Edge
+
+from pyVHDLModel.Exception     import VHDLModelException
+from pyVHDLModel.Exception     import LibraryExistsInDesignError, LibraryRegisteredToForeignDesignError, LibraryNotRegisteredError, EntityExistsInLibraryError
+from pyVHDLModel.Exception     import ArchitectureExistsInLibraryError, PackageExistsInLibraryError, PackageBodyExistsError, ConfigurationExistsInLibraryError
+from pyVHDLModel.Exception     import ContextExistsInLibraryError, ReferencedLibraryNotExistingError
+from pyVHDLModel.Base          import ModelEntity, NamedEntityMixin, MultipleNamedEntityMixin, DocumentedEntityMixin
+from pyVHDLModel.Expression    import UnaryExpression, BinaryExpression, TernaryExpression
+from pyVHDLModel.Namespace     import Namespace
+from pyVHDLModel.Object        import Obj, Signal, Constant, DeferredConstant
+from pyVHDLModel.Symbol        import PackageReferenceSymbol, AllPackageMembersReferenceSymbol, PackageMemberReferenceSymbol, SimpleObjectOrFunctionCallSymbol
+from pyVHDLModel.Concurrent    import EntityInstantiation, ComponentInstantiation, ConfigurationInstantiation
+from pyVHDLModel.DesignUnit    import DesignUnit, PrimaryUnit, Architecture, PackageBody, Context, Entity, Configuration, Package
+from pyVHDLModel.PSLModel      import VerificationUnit, VerificationProperty, VerificationMode
+from pyVHDLModel.Instantiation import PackageInstantiation
+from pyVHDLModel.Type          import IntegerType, PhysicalType, ArrayType, RecordType
+
+
+@export
+@unique
+class VHDLVersion(Enum):
+	"""
+	An enumeration for all possible version numbers for VHDL and VHDL-AMS.
+
+	A version can be given as integer or string and is represented as a unified
+	enumeration value.
+
+	This enumeration supports compare operators.
+	"""
+
+	Any =                -1  #: Any
+	VHDL87 =             87  #: VHDL-1987
+	VHDL93 =             93  #: VHDL-1993
+	AMS93 =            1993  #: VHDL-AMS-1993
+	AMS99 =            1999  #: VHDL-AMS-1999
+	VHDL2000 =         2000  #: VHDL-2000
+	VHDL2002 =         2002  #: VHDL-2002
+	VHDL2008 =         2008  #: VHDL-2008
+	AMS2017 =          2017  #: VHDL-AMS-2017
+	VHDL2019 =         2019  #: VHDL-2019
+	Latest =          10000  #: Latest VHDL (2019)
+
+	__VERSION_MAPPINGS__: Dict[Union[int, str], Enum] = {
+		-1:       Any,
+		87:       VHDL87,
+		93:       VHDL93,
+		# 93:       AMS93,
+		99:       AMS99,
+		0:        VHDL2000,
+		2:        VHDL2002,
+		8:        VHDL2008,
+		17:       AMS2017,
+		19:       VHDL2019,
+		1987:     VHDL87,
+		# 1993:     VHDL93,
+		1993:     AMS93,
+		1999:     AMS99,
+		2000:     VHDL2000,
+		2002:     VHDL2002,
+		2008:     VHDL2008,
+		2017:     AMS2017,
+		2019:     VHDL2019,
+		10000:    Latest,
+		"Any":    Any,
+		"87":     VHDL87,
+		"93":     VHDL93,
+		# "93":     AMS93,
+		"99":     AMS99,
+		"00":     VHDL2000,
+		"02":     VHDL2002,
+		"08":     VHDL2008,
+		"17":     AMS2017,
+		"19":     VHDL2019,
+		"1987":   VHDL87,
+		# "1993":   VHDL93,
+		"1993":   AMS93,
+		"1999":   AMS99,
+		"2000":   VHDL2000,
+		"2002":   VHDL2002,
+		"2008":   VHDL2008,
+		"2017":   AMS2017,
+		"2019":   VHDL2019,
+		"Latest": Latest,
+	}  #: Dictionary of VHDL and VHDL-AMS year codes variants as integer and strings for mapping to unique enum values.
+
+	def __init__(self, *_) -> None:
+		"""Patch the embedded MAP dictionary"""
+		for k, v in self.__class__.__VERSION_MAPPINGS__.items():
+			if (not isinstance(v, self.__class__)) and (v == self.value):
+				self.__class__.__VERSION_MAPPINGS__[k] = self
+
+	@classmethod
+	def Parse(cls, value: Union[int, str]) -> "VHDLVersion":
+		"""
+		Parses a VHDL or VHDL-AMS year code as integer or string to an enum value.
+
+		:param value:       VHDL/VHDL-AMS year code.
+		:returns:           Enumeration value.
+		:raises ValueError: If the year code is not recognized.
+		"""
+		try:
+			return cls.__VERSION_MAPPINGS__[value]
+		except KeyError:
+			raise ValueError(f"Value '{value!s}' cannot be parsed to member of {cls.__name__}.")
+
+	def __lt__(self, other: Any) -> bool:
+		"""
+		Compare two VHDL/VHDL-AMS versions if the version is less than the second operand.
+
+		:param other:      Parameter to compare against.
+		:returns:          True if version is less than the second operand.
+		:raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`.
+		"""
+		if isinstance(other, VHDLVersion):
+			return self.value < other.value
+		else:
+			raise TypeError("Second operand is not of type 'VHDLVersion'.")
+
+	def __le__(self, other: Any) -> bool:
+		"""
+		Compare two VHDL/VHDL-AMS versions if the version is less or equal than the second operand.
+
+		:param other:      Parameter to compare against.
+		:returns:          True if version is less or equal than the second operand.
+		:raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`.
+		"""
+		if isinstance(other, VHDLVersion):
+			return self.value <= other.value
+		else:
+			raise TypeError("Second operand is not of type 'VHDLVersion'.")
+
+	def __gt__(self, other: Any) -> bool:
+		"""
+		Compare two VHDL/VHDL-AMS versions if the version is greater than the second operand.
+
+		:param other:      Parameter to compare against.
+		:returns:          True if version is greater than the second operand.
+		:raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`.
+		"""
+		if isinstance(other, VHDLVersion):
+			return self.value > other.value
+		else:
+			raise TypeError("Second operand is not of type 'VHDLVersion'.")
+
+	def __ge__(self, other: Any) -> bool:
+		"""
+		Compare two VHDL/VHDL-AMS versions if the version is greater or equal than the second operand.
+
+		:param other:      Parameter to compare against.
+		:returns:          True if version is greater or equal than the second operand.
+		:raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`.
+		"""
+		if isinstance(other, VHDLVersion):
+			return self.value >= other.value
+		else:
+			raise TypeError("Second operand is not of type 'VHDLVersion'.")
+
+	def __ne__(self, other: Any) -> bool:
+		"""
+		Compare two VHDL/VHDL-AMS versions if the version is unequal to the second operand.
+
+		:param other:      Parameter to compare against.
+		:returns:          True if version is unequal to the second operand.
+		:raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`.
+		"""
+		if isinstance(other, VHDLVersion):
+			return self.value != other.value
+		else:
+			raise TypeError("Second operand is not of type 'VHDLVersion'.")
+
+	def __eq__(self, other: Any) -> bool:
+		"""
+		Compare two VHDL/VHDL-AMS versions if the version is equal to the second operand.
+
+		:param other:      Parameter to compare against.
+		:returns:          True if version is equal to the second operand.
+		:raises TypeError: If parameter ``other`` is not of type :class:`VHDLVersion`.
+		"""
+		if isinstance(other, VHDLVersion):
+			if (self is self.__class__.Any) or (other is self.__class__.Any):
+				return True
+			else:
+				return self.value == other.value
+		else:
+			raise TypeError("Second operand is not of type 'VHDLVersion'.")
+
+	@readonly
+	def IsVHDL(self) -> bool:
+		"""
+		Checks if the version is a VHDL (not VHDL-AMS) version.
+
+		:returns:          True if version is a VHDL version.
+		"""
+		return self in (self.VHDL87, self.VHDL93, self.VHDL2002, self.VHDL2008, self.VHDL2019)
+
+	@readonly
+	def IsAMS(self) -> bool:
+		"""
+		Checks if the version is a VHDL-AMS (not VHDL) version.
+
+		:returns:          True if version is a VHDL-AMS version.
+		"""
+		return self in (self.AMS93, self.AMS99, self.AMS2017)
+
+	def __str__(self) -> str:
+		"""
+		Formats the VHDL version to pattern ``VHDL'xx`` or in case of VHDL-AMS to ``VHDL-AMS'xx``.
+
+		:return: Formatted VHDL/VHDL-AMS version.
+		"""
+		if self.value == self.Any.value:
+			return "VHDL'Any"
+		elif self.value == self.Latest.value:
+			return "VHDL'Latest"
+
+		year = str(self.value)[-2:]
+		if self.IsVHDL:
+			return f"VHDL'{year}"
+		else:
+			return f"VHDL-AMS'{year}"
+
+	def __repr__(self) -> str:
+		"""
+		Formats the VHDL/VHDL-AMS version to pattern ``xxxx``.
+
+		:return: Formatted VHDL/VHDL-AMS version.
+		"""
+		if self.value == self.Any.value:
+			return "Any"
+		elif self.value == self.Latest.value:
+			return "Latest"
+		else:
+			return str(self.value)
+
+
+@export
+@unique
+class ObjectClass(Enum):
+	"""
+	An ``ObjectClass`` is an enumeration and represents an object's class (``constant``, ``signal``, ...).
+
+	In case no *object class* is defined, ``Default`` is used, so the *object class* is inferred from context.
+	"""
+
+	Default =    0  #: Object class not defined, thus it's context dependent.
+	Constant =   1  #: Constant
+	Variable =   2  #: Variable
+	Signal =     3  #: Signal
+	File =       4  #: File
+	Type =       5  #: Type
+	# FIXME: Package?
+	Procedure =  6  #: Procedure
+	Function =   7  #: Function
+
+	def __str__(self) -> str:
+		"""
+		Formats the object class.
+
+		:return: Formatted object class.
+		"""
+		return ("", "constant", "variable", "signal", "file", "type", "procedure", "function")[cast(int, self.value)]       # TODO: check performance
+
+
+@export
+@unique
+class DesignUnitKind(Flag):
+	"""
+	A ``DesignUnitKind`` is an enumeration and represents the kind of design unit (``Entity``, ``Architecture``, ...).
+
+	"""
+	Context = auto()                                                             #: Context
+	Package = auto()                                                             #: Package
+	PackageBody = auto()                                                         #: Package Body
+	Entity = auto()                                                              #: Entity
+	Architecture = auto()                                                        #: Architecture
+	Configuration = auto()                                                       #: Configuration
+
+	Primary = Context | Configuration | Entity | Package                         #: List of primary design units.
+	Secondary = PackageBody | Architecture                                       #: List of secondary design units.
+	WithContext = Configuration | Package | Entity | PackageBody | Architecture  #: List of design units with a context.
+	WithDeclaredItems = Package | Entity | PackageBody | Architecture            #: List of design units having a declaration region.
+
+	All = Primary | Secondary                                                    #: List of all design units.
+
+
+@export
+@unique
+class DependencyGraphVertexKind(Flag):
+	"""
+	A ``DependencyGraphVertexKind`` is an enumeration and represents the kind of vertex in the dependency graph.
+	"""
+	Document = auto()       #: A document (VHDL source file).
+	Library = auto()        #: A VHDL library.
+
+	Context = auto()        #: A context design unit.
+	Package = auto()        #: A package design unit.
+	PackageBody = auto()    #: A package body design unit.
+	Entity = auto()         #: A entity design unit.
+	Architecture = auto()   #: A architecture design unit.
+	Component = auto()      #: A VHDL component.
+	Configuration = auto()  #: A configuration design unit.
+
+
+@export
+@unique
+class DependencyGraphEdgeKind(Flag):
+	"""
+	A ``DependencyGraphEdgeKind`` is an enumeration and represents the kind of edge in the dependency graph.
+	"""
+	Document =       auto()
+	Library =        auto()
+	Context =        auto()
+	Package =        auto()
+	Entity =         auto()
+	# Architecture = auto()
+	Configuration =  auto()
+	Component =      auto()
+
+	DeclaredIn =     auto()
+	Order =          auto()
+	Reference =      auto()
+	Implementation = auto()
+	Instantiation =  auto()
+
+	SourceFile =                 Document | DeclaredIn
+	CompileOrder =               Document | Order
+
+	LibraryClause =              Library | Reference
+	UseClause =                  Package | Reference
+	ContextReference =           Context | Reference
+
+	EntityImplementation =       Entity | Implementation
+	PackageImplementation =      Package | Implementation
+
+	EntityInstantiation =        Entity | Instantiation
+	ComponentInstantiation =     Component | Instantiation
+	ConfigurationInstantiation = Configuration | Instantiation
+
+
+@export
+@unique
+class ObjectGraphVertexKind(Flag):
+	"""
+	A ``ObjectGraphVertexKind`` is an enumeration and represents the kind of vertex in the object graph.
+	"""
+	Type = auto()
+	Subtype = auto()
+
+	Constant = auto()
+	DeferredConstant = auto()
+	Variable = auto()
+	Signal = auto()
+	File = auto()
+
+	Alias = auto()
+
+
+@export
+@unique
+class ObjectGraphEdgeKind(Flag):
+	"""
+	A ``ObjectGraphEdgeKind`` is an enumeration and represents the kind of edge in the object graph.
+	"""
+	BaseType = auto()
+	Subtype = auto()
+
+	ReferenceInExpression = auto()
+
+
+@export
+class Design(ModelEntity):
+	"""
+	A ``Design`` represents set of VHDL libraries as well as all loaded and analysed source files (see :class:`~pyVHDLModel.Document`).
+
+	It's the root of this code document-object-model (CodeDOM). It contains at least one VHDL library (see :class:`~pyVHDLModel.Library`). When the design is
+	analysed (see :meth:`Analyze`), multiple graph data structures will be created and populated with vertices and edges. As a first result, the design's compile
+	order and hierarchy can be iterated. As a second result, the design's *top-level* is identified and referenced from the design (see :attr:`TopLevel`).
+
+	The *design* contains references to the following graphs:
+
+	* :attr:`DependencyGraph`
+	* :attr:`CompileOrderGraph`
+	* :attr:`HierarchyGraph`
+	* :attr:`ObjectGraph`
+	"""
+	_name:              Nullable[str]         #: Name of the design
+	_libraries:         Dict[str, 'Library']  #: List of all libraries defined for a design.
+	_documents:         List['Document']      #: List of all documents loaded for a design.
+	_dependencyGraph:   Graph[None, None, None, None, None, None, None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]   #: The graph of all dependencies in the designs.
+	_compileOrderGraph: Graph[None, None, None, None, None, None, None, None, None, 'Document', None, None, None, None, None, None, None, None, None, None, None, None, None]  #: A graph derived from dependency graph containing the order of documents for compilation.
+	_hierarchyGraph:    Graph[None, None, None, None, None, None, None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]   #: A graph derived from dependency graph containing the design hierarchy.
+	_objectGraph:       Graph[None, None, None, None, None, None, None, None, str, Obj, None, None, None, None, None, None, None, None, None, None, None, None, None]          #: The graph of all types and objects in the design.
+	_toplevel:          Union[Entity, Configuration]  #: When computed, the toplevel design unit is cached in this field.
+
+	def __init__(self, name: Nullable[str] = None) -> None:
+		"""
+		Initializes a VHDL design.
+
+		:param name: Name of the design.
+		"""
+		super().__init__()
+
+		self._name =      name
+		self._libraries = {}
+		self._documents = []
+
+		self._compileOrderGraph = Graph()
+		self._dependencyGraph = Graph()
+		self._hierarchyGraph = Graph()
+		self._objectGraph = Graph()
+		self._toplevel = None
+
+	@readonly
+	def Libraries(self) -> Dict[str, 'Library']:
+		"""
+		Read-only property to access the dictionary of library names and VHDL libraries (:attr:`_libraries`).
+
+		:returns: A dictionary of library names and VHDL libraries.
+		"""
+		return self._libraries
+
+	@readonly
+	def Documents(self) -> List['Document']:
+		"""
+		Read-only property to access the list of all documents (VHDL source files) loaded for this design (:attr:`_documents`).
+
+		:returns: A list of all documents.
+		"""
+		return self._documents
+
+	@readonly
+	def CompileOrderGraph(self) -> Graph:
+		"""
+		Read-only property to access the compile-order graph (:attr:`_compileOrderGraph`).
+
+		:returns: Reference to the compile-order graph.
+		"""
+		return self._compileOrderGraph
+
+	@readonly
+	def DependencyGraph(self) -> Graph:
+		"""
+		Read-only property to access the dependency graph (:attr:`_dependencyGraph`).
+
+		:returns: Reference to the dependency graph.
+		"""
+		return self._dependencyGraph
+
+	@readonly
+	def HierarchyGraph(self) -> Graph:
+		"""
+		Read-only property to access the hierarchy graph (:attr:`_hierarchyGraph`).
+
+		:returns: Reference to the hierarchy graph.
+		"""
+		return self._hierarchyGraph
+
+	@readonly
+	def ObjectGraph(self) -> Graph:
+		"""
+		Read-only property to access the object graph (:attr:`_objectGraph`).
+
+		:returns: Reference to the object graph.
+		"""
+		return self._objectGraph
+
+	@readonly
+	def TopLevel(self) -> Union[Entity, Configuration]:
+		"""
+		Read-only property to access the design's *top-level* (:attr:`_toplevel`).
+
+		When called the first time, the hierarchy graph is checked for its root elements. When there is only one root element in the graph, a new field ``toplevel``
+		is added to :attr:`_hierarchyGraph` referencing that single element. In addition, the result is cached in :attr:`_toplevel`.
+
+		:returns:                   Reference to the design's *top-level*.
+		:raises VHDLModelException: If the hierarchy graph is not yet computed from dependency graph.
+		:raises VHDLModelException: If there is more than one *top-level*.
+		"""
+		# Check for cached result
+		if self._toplevel is not None:
+			return self._toplevel
+
+		if self._hierarchyGraph.EdgeCount == 0:
+			raise VHDLModelException(f"Hierarchy is not yet computed from dependency graph.")
+
+		roots = tuple(self._hierarchyGraph.IterateRoots())
+		if len(roots) == 1:
+			toplevel = roots[0]
+			self._hierarchyGraph["toplevel"] = toplevel
+			self._toplevel = toplevel.Value
+
+			return toplevel.Value
+		else:
+			raise VHDLModelException(f"Found more than one toplevel: {', '.join(roots)}")
+
+	def LoadStdLibrary(self) -> 'Library':
+		"""
+		Load the predefined VHDL library ``std`` into the design.
+
+		This will create a virtual source code file ``std.vhdl`` and register VHDL design units of library ``std`` to that file.
+
+		:returns: The library object of library ``std``.
+		"""
+		from pyVHDLModel.STD import Std
+
+		doc = Document(Path("std.vhdl"), parent=self)
+
+		library = Std()
+		for designUnit in library.IterateDesignUnits():
+			doc._AddDesignUnit(designUnit)
+
+		self.AddLibrary(library)
+
+		return library
+
+	def LoadIEEELibrary(self) -> 'Library':
+		"""
+		Load the predefined VHDL library ``ieee`` into the design.
+
+		This will create a virtual source code file ``ieee.vhdl`` and register VHDL design units of library ``ieee`` to that file.
+
+		:returns: The library object of library ``ieee``.
+		"""
+		from pyVHDLModel.IEEE import Ieee
+
+		doc = Document(Path("ieee.vhdl"), parent=self)
+
+		library = Ieee()
+		for designUnit in library.IterateDesignUnits():
+			doc._AddDesignUnit(designUnit)
+
+		self.AddLibrary(library)
+
+		return library
+
+	def AddLibrary(self, library: 'Library') -> None:
+		"""
+		Add a VHDL library to the design.
+
+		Ensure the libraries name doesn't collide with existing libraries in the design. |br|
+		If ok, set the libraries parent reference to the design.
+
+		:param library:                                Library object to loaded.
+		:raises LibraryExistsInDesignError:            If the library already exists in the design.
+		:raises LibraryRegisteredToForeignDesignError: If library is already used by a different design.
+		"""
+		libraryIdentifier = library.NormalizedIdentifier
+		if libraryIdentifier in self._libraries:
+			raise LibraryExistsInDesignError(library)
+
+		if library._parent is not None:
+			raise LibraryRegisteredToForeignDesignError(library)
+
+		self._libraries[libraryIdentifier] = library
+		library._parent = self
+
+	def GetLibrary(self, libraryName: str) -> 'Library':
+		"""
+		Return an (existing) VHDL library object of name ``libraryName``.
+
+		If the requested VHDL library doesn't exist, a new VHDL library with that name will be created.
+
+		:param libraryName: Name of the requested VHDL library.
+		:returns:           The VHDL library object.
+		"""
+		libraryIdentifier = libraryName.lower()
+		try:
+			return self._libraries[libraryIdentifier]
+		except KeyError:
+			lib = Library(libraryName, parent=self)
+			self._libraries[libraryIdentifier] = lib
+			lib._parent = self
+			return lib
+
+	# TODO: allow overloaded parameter library to be str?
+	def AddDocument(self, document: 'Document', library: 'Library') -> None:
+		"""
+		Add a document (VHDL source file) to the design and register all embedded design units to the given VHDL library.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all entities in the document
+
+		   1. Check if entity name might exist in target library.
+		   2. Add entity to library and update library membership.
+
+		2. Iterate all architectures in the document
+
+		   1. Check if architecture name might exist in target library.
+		   2. Add architecture to library and update library membership.
+
+		3. Iterate all packages in the document
+
+		   1. Check if package name might exist in target library.
+		   2. Add package to library and update library membership.
+
+		4. Iterate all package bodies in the document
+
+		   1. Check if package body name might exist in target library.
+		   2. Add package body to library and update library membership.
+
+		5. Iterate all configurations in the document
+
+		   1. Check if configuration name might exist in target library.
+		   2. Add configuration to library and update library membership.
+
+		6. Iterate all contexts in the document
+
+		   1. Check if context name might exist in target library.
+		   2. Add context to library and update library membership.
+
+		:param document:                           The VHDL source code file.
+		:param library:                            The VHDL library used to register the embedded design units to.
+		:raises LibraryNotRegisteredError:         If the given VHDL library is not a library in the design.
+		:raises EntityExistsInLibraryError:        If the processed entity's name is already existing in the VHDL library.
+		:raises ArchitectureExistsInLibraryError:  If the processed architecture's name is already existing in the VHDL library.
+		:raises PackageExistsInLibraryError:       If the processed package's name is already existing in the VHDL library.
+		:raises PackageBodyExistsError:            If the processed package body's name is already existing in the VHDL library.
+		:raises ConfigurationExistsInLibraryError: If the processed configuration's name is already existing in the VHDL library.
+		:raises ContextExistsInLibraryError:       If the processed context's name is already existing in the VHDL library.
+		"""
+		# FIXME: this checks for the library name, but not the object
+		# should the libraries parent be checked too?
+		if library._normalizedIdentifier not in self._libraries:
+			raise LibraryNotRegisteredError(library)
+
+		self._documents.append(document)
+		document._parent = self
+
+		for entityIdentifier, entity in document._entities.items():
+			if entityIdentifier in library._entities:
+				raise EntityExistsInLibraryError(entity, library)
+
+			library._entities[entityIdentifier] = entity
+			entity.Library = library
+
+		for entityIdentifier, architectures in document._architectures.items():
+			try:
+				architecturesPerEntity = library._architectures[entityIdentifier]
+				for architectureIdentifier, architecture in architectures.items():
+					if architectureIdentifier in architecturesPerEntity:
+						raise ArchitectureExistsInLibraryError(architecture, library._entities[entityIdentifier], library)
+
+					architecturesPerEntity[architectureIdentifier] = architecture
+					architecture.Library = library
+			except KeyError:
+				architecturesPerEntity = document._architectures[entityIdentifier].copy()
+				library._architectures[entityIdentifier] = architecturesPerEntity
+
+				for architecture in architecturesPerEntity.values():
+					architecture.Library = library
+
+		for packageIdentifier, package in document._packages.items():
+			if packageIdentifier in library._packages:
+				raise PackageExistsInLibraryError(package, library)
+
+			library._packages[packageIdentifier] = package
+			package.Library = library
+
+		for packageBodyIdentifier, packageBody in document._packageBodies.items():
+			if packageBodyIdentifier in library._packageBodies:
+				raise PackageBodyExistsError(packageBody, library)
+
+			library._packageBodies[packageBodyIdentifier] = packageBody
+			packageBody.Library = library
+
+		for configurationIdentifier, configuration in document._configurations.items():
+			if configurationIdentifier in library._configurations:
+				raise ConfigurationExistsInLibraryError(configuration, library)
+
+			library._configurations[configurationIdentifier] = configuration
+			configuration.Library = library
+
+		for contextIdentifier, context in document._contexts.items():
+			if contextIdentifier in library._contexts:
+				raise ContextExistsInLibraryError(context, library)
+
+			library._contexts[contextIdentifier] = context
+			context.Library = library
+
+	def IterateDesignUnits(self, filter: DesignUnitKind = DesignUnitKind.All) -> Generator[DesignUnit, None, None]:
+		"""
+		Iterate all design units in the design.
+
+		A union of :class:`DesignUnitKind` values can be given to filter the returned result for suitable design units.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all VHDL libraries.
+
+		   1. Iterate all contexts in that library.
+		   2. Iterate all packages in that library.
+		   3. Iterate all package bodies in that library.
+		   4. Iterate all entites in that library.
+		   5. Iterate all architectures in that library.
+		   6. Iterate all configurations in that library.
+
+		:param filter: An enumeration with possibly multiple flags to filter the returned design units.
+		:returns:      A generator to iterate all matched design units in the design.
+
+		.. seealso::
+
+		   :meth:`pyVHDLModel.Library.IterateDesignUnits`
+		     Iterate all design units in the library.
+		   :meth:`pyVHDLModel.Document.IterateDesignUnits`
+		     Iterate all design units in the document.
+		"""
+		for library in self._libraries.values():
+			yield from library.IterateDesignUnits(filter)
+
+	def Analyze(self) -> None:
+		"""
+		Analyze the whole design.
+
+		.. rubric:: Algorithm
+
+		1. Analyze dependencies of design units. |br|
+		   This will also yield the design hierarchy and the compiler order.
+		2. Analyze dependencies of types and objects.
+
+		.. seealso::
+
+		   :meth:`AnalyzeDependencies`
+		     Analyze the dependencies of design units.
+
+		   :meth:`AnalyzeObjects`
+		     Analyze the dependencies of types and objects.
+		"""
+		self.AnalyzeDependencies()
+		self.AnalyzeObjects()
+
+	def AnalyzeDependencies(self) -> None:
+		"""
+		Analyze the dependencies of design units.
+
+		.. rubric:: Algorithm
+
+		1. Create all vertices of the dependency graph by iterating all design units in all libraries. |br|
+		   |rarr| :meth:`CreateDependencyGraph`
+		2. Create the compile order graph. |br|
+		   |rarr| :meth:`CreateCompileOrderGraph`
+		3. Index all packages. |br|
+		   |rarr| :meth:`IndexPackages`
+		4. Index all architectures. |br|
+		   |rarr| :meth:`IndexArchitectures`
+		5. Link all contexts |br|
+		   |rarr| :meth:`LinkContexts`
+		6. Link all architectures. |br|
+		   |rarr| :meth:`LinkArchitectures`
+		7. Link all package bodies. |br|
+		   |rarr| :meth:`LinkPackageBodies`
+		8. Link all library references. |br|
+		   |rarr| :meth:`LinkLibraryReferences`
+		9. Link all package references. |br|
+		   |rarr| :meth:`LinkPackageReferences`
+		10. Link all context references. |br|
+		    |rarr| :meth:`LinkContextReferences`
+		11. Link all components. |br|
+		    |rarr| :meth:`LinkComponents`
+		12. Link all instantiations. |br|
+		    |rarr| :meth:`LinkInstantiations`
+		13. Create the hierarchy graph. |br|
+		    |rarr| :meth:`CreateHierarchyGraph`
+		14. Compute the compile order. |br|
+		    |rarr| :meth:`ComputeCompileOrder`
+		"""
+		self.CreateDependencyGraph()
+		self.CreateCompileOrderGraph()
+
+		self.IndexPackages()
+		self.IndexArchitectures()
+
+		self.LinkContexts()
+		self.LinkArchitectures()
+		self.LinkPackageBodies()
+		self.LinkLibraryReferences()
+		self.LinkPackageReferences()
+		self.LinkContextReferences()
+
+		self.LinkComponents()
+		self.LinkInstantiations()
+		self.CreateHierarchyGraph()
+		self.ComputeCompileOrder()
+
+	def AnalyzeObjects(self) -> None:
+		"""
+		Analyze the dependencies of types and objects.
+
+		.. rubric:: Algorithm
+
+		1. Index all entities. |br|
+		   |rarr| :meth:`IndexEntities`
+		2. Index all package bodies. |br|
+		   |rarr| :meth:`IndexPackageBodies`
+		3. Import objects. |br|
+		   |rarr| :meth:`ImportObjects`
+		4. Create the type and object graph. |br|
+		   |rarr| :meth:`CreateTypeAndObjectGraph`
+		"""
+		self.IndexEntities()
+		self.IndexPackageBodies()
+
+		self.ImportObjects()
+		self.CreateTypeAndObjectGraph()
+
+	def CreateDependencyGraph(self) -> None:
+		"""
+		Create all vertices of the dependency graph by iterating all design units in all libraries.
+
+		This method will purely create a sea of vertices without any linking between vertices. The edges will be created later by other methods. |br|
+		See :meth:`AnalyzeDependencies` for these methods and their algorithmic order.
+
+		Each vertex has the following properties:
+
+		* The vertex' ID is the design unit's identifier.
+		* The vertex' value references the design unit.
+		* A key-value-pair called ``kind`` denotes the vertex's kind as an enumeration value of type :class:`DependencyGraphVertexKind`.
+		* A key-value-pair called ``predefined`` denotes if the referenced design unit is a predefined language entity.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all libraries in the design.
+
+		   * Create a vertex for that library and reference the library by the vertex' value field. |br|
+		     In return, set the library's :attr:`~pyVHDLModel.Library._dependencyVertex` field to reference the created vertex.
+
+		   1. Iterate all contexts in that library.
+
+		      * Create a vertex for that context and reference the context by the vertex' value field. |br|
+		        In return, set the context's :attr:`~pyVHDLModel.DesignUnit.Context._dependencyVertex` field to reference the created vertex.
+
+		   2. Iterate all packages in that library.
+
+		      * Create a vertex for that package and reference the package by the vertex' value field. |br|
+		        In return, set the package's :attr:`~pyVHDLModel.DesignUnit.Package._dependencyVertex` field to reference the created vertex.
+
+		   3. Iterate all package bodies in that library.
+
+		      * Create a vertex for that package body and reference the package body by the vertex' value field. |br|
+		        In return, set the package body's :attr:`~pyVHDLModel.DesignUnit.PackageBody._dependencyVertex` field to reference the created vertex.
+
+		   4. Iterate all entities in that library.
+
+		      * Create a vertex for that entity and reference the entity by the vertex' value field. |br|
+		        In return, set the entity's :attr:`~pyVHDLModel.DesignUnit.Entity._dependencyVertex` field to reference the created vertex.
+
+		   5. Iterate all architectures in that library.
+
+		      * Create a vertex for that architecture and reference the architecture by the vertex' value field. |br|
+		        In return, set the architecture's :attr:`~pyVHDLModel.DesignUnit.Architecture._dependencyVertex` field to reference the created vertex.
+
+		   6. Iterate all configurations in that library.
+
+		      * Create a vertex for that configuration and reference the configuration by the vertex' value field. |br|
+		        In return, set the configuration's :attr:`~pyVHDLModel.DesignUnit.Configuration._dependencyVertex` field to reference the created vertex.
+		"""
+		predefinedLibraries = ("std", "ieee")
+
+		for libraryIdentifier, library in self._libraries.items():
+			dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}", value=library, graph=self._dependencyGraph)
+			dependencyVertex["kind"] = DependencyGraphVertexKind.Library
+			dependencyVertex["predefined"] = libraryIdentifier in predefinedLibraries
+			library._dependencyVertex = dependencyVertex
+
+			for contextIdentifier, context in library._contexts.items():
+				dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{contextIdentifier}", value=context, graph=self._dependencyGraph)
+				dependencyVertex["kind"] = DependencyGraphVertexKind.Context
+				dependencyVertex["predefined"] = context._parent._normalizedIdentifier in predefinedLibraries
+				context._dependencyVertex = dependencyVertex
+
+			for packageIdentifier, package in library._packages.items():
+				dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{packageIdentifier}", value=package, graph=self._dependencyGraph)
+				dependencyVertex["kind"] = DependencyGraphVertexKind.Package
+				dependencyVertex["predefined"] = package._parent._normalizedIdentifier in predefinedLibraries
+				package._dependencyVertex = dependencyVertex
+
+			for packageBodyIdentifier, packageBody in library._packageBodies.items():
+				dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{packageBodyIdentifier}(body)", value=packageBody, graph=self._dependencyGraph)
+				dependencyVertex["kind"] = DependencyGraphVertexKind.PackageBody
+				dependencyVertex["predefined"] = packageBody._parent._normalizedIdentifier in predefinedLibraries
+				packageBody._dependencyVertex = dependencyVertex
+
+			for entityIdentifier, entity in library._entities.items():
+				dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{entityIdentifier}", value=entity, graph=self._dependencyGraph)
+				dependencyVertex["kind"] = DependencyGraphVertexKind.Entity
+				dependencyVertex["predefined"] = entity._parent._normalizedIdentifier in predefinedLibraries
+				entity._dependencyVertex = dependencyVertex
+
+			for entityIdentifier, architectures in library._architectures.items():
+				for architectureIdentifier, architecture in architectures.items():
+					dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{entityIdentifier}({architectureIdentifier})", value=architecture, graph=self._dependencyGraph)
+					dependencyVertex["kind"] = DependencyGraphVertexKind.Architecture
+					dependencyVertex["predefined"] = architecture._parent._normalizedIdentifier in predefinedLibraries
+					architecture._dependencyVertex = dependencyVertex
+
+			for configurationIdentifier, configuration in library._configurations.items():
+				dependencyVertex = Vertex(vertexID=f"{libraryIdentifier}.{configurationIdentifier}", value=configuration, graph=self._dependencyGraph)
+				dependencyVertex["kind"] = DependencyGraphVertexKind.Configuration
+				dependencyVertex["predefined"] = configuration._parent._normalizedIdentifier in predefinedLibraries
+				configuration._dependencyVertex = dependencyVertex
+
+	def CreateCompileOrderGraph(self) -> None:
+		"""
+		Create a compile-order graph with bidirectional references to the dependency graph.
+
+		Add vertices representing a document (VHDL source file) to the dependency graph. Each "document" vertex in dependency graph is copied into the compile-order
+		graph and bidirectionally referenced.
+
+		In addition, each vertex of a corresponding design unit in a document is linked to the vertex representing that document to express the design unit in
+		document relationship.
+
+		Each added vertex has the following properties:
+
+		* The vertex' ID is the document's filename.
+		* The vertex' value references the document.
+		* A key-value-pair called ``kind`` denotes the vertex's kind as an enumeration value of type :class:`DependencyGraphVertexKind`.
+		* A key-value-pair called ``predefined`` does not exist.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all documents in the design.
+
+		   * Create a vertex for that document and reference the document by the vertex' value field. |br|
+		     In return, set the documents's :attr:`~pyVHDLModel.Document._dependencyVertex` field to reference the created vertex.
+		   * Copy the vertex from dependency graph to compile-order graph and link both vertices bidirectionally. |br|
+		     In addition, set the documents's :attr:`~pyVHDLModel.Document._dependencyVertex` field to reference the copied vertex.
+
+		     * Add a key-value-pair called ``compileOrderVertex`` to the dependency graph's vertex.
+		     * Add a key-value-pair called ``dependencyVertex`` to the compiler-order graph's vertex.
+
+		   1. Iterate the documents design units and create an edge from the design unit's corresponding dependency vertex to the documents corresponding
+		      dependency vertex. This expresses a "design unit is located in document" relation.
+
+		      * Add a key-value-pair called `kind`` denoting the edge's kind as an enumeration value of type :class:`DependencyGraphEdgeKind`.
+		"""
+		for document in self._documents:
+			dependencyVertex = Vertex(vertexID=document.Path.name, value=document, graph=self._dependencyGraph)
+			dependencyVertex["kind"] = DependencyGraphVertexKind.Document
+			document._dependencyVertex = dependencyVertex
+
+			compilerOrderVertex = dependencyVertex.Copy(
+				self._compileOrderGraph,
+				copyDict=True,
+				linkingKeyToOriginalVertex="dependencyVertex",
+				linkingKeyFromOriginalVertex="compileOrderVertex"
+			)
+			document._compileOrderVertex = compilerOrderVertex
+
+			for designUnit in document._designUnits:
+				edge = dependencyVertex.EdgeFromVertex(designUnit._dependencyVertex)
+				edge["kind"] = DependencyGraphEdgeKind.SourceFile
+
+	def ImportObjects(self) -> None:
+		def _ImportObjects(package: Package) -> None:
+			for referencedLibrary in package._referencedPackages.values():
+				for referencedPackage in referencedLibrary.values():
+					for declaredItem in referencedPackage._declaredItems:
+						if isinstance(declaredItem, MultipleNamedEntityMixin):
+							for normalizedIdentifier in declaredItem._normalizedIdentifiers:
+								package._namespace._elements[normalizedIdentifier] = declaredItem
+						elif isinstance(declaredItem, NamedEntityMixin):
+							package._namespace._elements[declaredItem._normalizedIdentifier] = declaredItem
+						else:
+							raise VHDLModelException(f"Unexpected declared item.")
+
+		for libraryName in ("std", "ieee"):
+			for package in self.GetLibrary(libraryName).IterateDesignUnits(filter=DesignUnitKind.Package):  # type: Package
+				_ImportObjects(package)
+
+		for document in self.IterateDocumentsInCompileOrder():
+			for package in document.IterateDesignUnits(filter=DesignUnitKind.Package):  # type: Package
+				_ImportObjects(package)
+
+	def CreateTypeAndObjectGraph(self) -> None:
+		def _HandlePackage(package) -> None:
+			packagePrefix = f"{package.Library.NormalizedIdentifier}.{package.NormalizedIdentifier}"
+
+			for deferredConstant in package._deferredConstants.values():
+				print(f"Deferred Constant: {deferredConstant}")
+				deferredConstantVertex = Vertex(
+					vertexID=f"{packagePrefix}.{deferredConstant.NormalizedIdentifiers[0]}",
+					value=deferredConstant,
+					graph=self._objectGraph
+				)
+				deferredConstantVertex["kind"] = ObjectGraphVertexKind.DeferredConstant
+				deferredConstant._objectVertex = deferredConstantVertex
+
+			for constant in package._constants.values():
+				print(f"Constant: {constant}")
+				constantVertex = Vertex(
+					vertexID=f"{packagePrefix}.{constant.NormalizedIdentifiers[0]}",
+					value=constant,
+					graph=self._objectGraph
+				)
+				constantVertex["kind"] = ObjectGraphVertexKind.Constant
+				constant._objectVertex = constantVertex
+
+			for type in package._types.values():
+				print(f"Type: {type}")
+				typeVertex = Vertex(
+					vertexID=f"{packagePrefix}.{type.NormalizedIdentifier}",
+					value=type,
+					graph=self._objectGraph
+				)
+				typeVertex["kind"] = ObjectGraphVertexKind.Type
+				type._objectVertex = typeVertex
+
+			for subtype in package._subtypes.values():
+				print(f"Subtype: {subtype}")
+				subtypeVertex = Vertex(
+					vertexID=f"{packagePrefix}.{subtype.NormalizedIdentifier}",
+					value=subtype,
+					graph=self._objectGraph
+				)
+				subtypeVertex["kind"] = ObjectGraphVertexKind.Subtype
+				subtype._objectVertex = subtypeVertex
+
+			for function in package._functions.values():
+				print(f"Function: {function}")
+				functionVertex = Vertex(
+					vertexID=f"{packagePrefix}.{function.NormalizedIdentifier}",
+					value=function,
+					graph=self._objectGraph
+				)
+				functionVertex["kind"] = ObjectGraphVertexKind.Function
+				function._objectVertex = functionVertex
+
+			for procedure in package._procedures.values():
+				print(f"Procedure: {procedure}")
+				procedureVertex = Vertex(
+					vertexID=f"{packagePrefix}.{procedure.NormalizedIdentifier}",
+					value=procedure,
+					graph=self._objectGraph
+				)
+				procedureVertex["kind"] = ObjectGraphVertexKind.Function
+				procedure._objectVertex = procedureVertex
+
+			for signal in package._signals.values():
+				print(f"Signal: {signal}")
+				signalVertex = Vertex(
+					vertexID=f"{packagePrefix}.{signal.NormalizedIdentifiers[0]}",
+					value=signal,
+					graph=self._objectGraph
+				)
+				signalVertex["kind"] = ObjectGraphVertexKind.Signal
+				signal._objectVertex = signalVertex
+
+		def _LinkSymbolsInExpression(expression, namespace: Namespace, typeVertex: Vertex):
+			if isinstance(expression, UnaryExpression):
+				_LinkSymbolsInExpression(expression.Operand, namespace, typeVertex)
+			elif isinstance(expression, BinaryExpression):
+				_LinkSymbolsInExpression(expression.LeftOperand, namespace, typeVertex)
+				_LinkSymbolsInExpression(expression.RightOperand, namespace, typeVertex)
+			elif isinstance(expression, TernaryExpression):
+				pass
+			elif isinstance(expression, SimpleObjectOrFunctionCallSymbol):
+				obj = namespace.FindObject(expression)
+				expression._reference = obj
+
+				edge = obj._objectVertex.EdgeToVertex(typeVertex)
+				edge["kind"] = ObjectGraphEdgeKind.ReferenceInExpression
+			else:
+				pass
+
+		def _LinkItems(package: Package):
+			for item in package._declaredItems:
+				if isinstance(item, Constant):
+					print(f"constant: {item}")
+				elif isinstance(item, DeferredConstant):
+					print(f"deferred constant: {item}")
+				elif isinstance(item, Signal):
+					print(f"signal: {item}")
+				elif isinstance(item, IntegerType):
+					typeNode = item._objectVertex
+
+					_LinkSymbolsInExpression(item.Range.LeftBound, package._namespace, typeNode)
+					_LinkSymbolsInExpression(item.Range.RightBound, package._namespace, typeNode)
+				# elif isinstance(item, FloatingType):
+				# 	print(f"signal: {item}")
+				elif isinstance(item, PhysicalType):
+					typeNode = item._objectVertex
+
+					_LinkSymbolsInExpression(item.Range.LeftBound, package._namespace, typeNode)
+					_LinkSymbolsInExpression(item.Range.RightBound, package._namespace, typeNode)
+				elif isinstance(item, ArrayType):
+					# Resolve dimensions
+					for dimension in item._dimensions:
+						subtype = package._namespace.FindSubtype(dimension)
+						dimension._reference = subtype
+
+						edge = item._objectVertex.EdgeToVertex(subtype._objectVertex)
+						edge["kind"] = ObjectGraphEdgeKind.Subtype
+
+					# Resolve element subtype
+					subtype = package._namespace.FindSubtype(item._elementType)
+					item._elementType._reference = subtype
+
+					edge = item._objectVertex.EdgeToVertex(subtype._objectVertex)
+					edge["kind"] = ObjectGraphEdgeKind.Subtype
+				elif isinstance(item, RecordType):
+					# Resolve each elements subtype
+					for element in item._elements:
+						subtype = package._namespace.FindSubtype(element._subtype)
+						element._subtype._reference = subtype
+
+						edge = item._objectVertex.EdgeToVertex(subtype._objectVertex)
+						edge["kind"] = ObjectGraphEdgeKind.Subtype
+				else:
+					print(f"not handled: {item}")
+
+		for libraryName in ("std", "ieee"):
+			for package in self.GetLibrary(libraryName).IterateDesignUnits(filter=DesignUnitKind.Package):  # type: Package
+				_HandlePackage(package)
+				_LinkItems(package)
+
+		for document in self.IterateDocumentsInCompileOrder():
+			for package in document.IterateDesignUnits(filter=DesignUnitKind.Package):  # type: Package
+				_HandlePackage(package)
+				_LinkItems(package)
+
+	def LinkContexts(self) -> None:
+		"""
+		Resolves and links all items (library clauses, use clauses and nested context references) in contexts.
+
+		It iterates all contexts in the design. Therefore, the library of the context is used as the working library. By
+		default, the working library is implicitly referenced in :data:`_referencedLibraries`. In addition, a new empty
+		dictionary is created in :data:`_referencedPackages` and :data:`_referencedContexts` for that working library.
+
+		At first, all library clauses are resolved (a library clause my have multiple library reference symbols). For each
+		referenced library an entry in :data:`_referencedLibraries` is generated and new empty dictionaries in
+		:data:`_referencedPackages` and :data:`_referencedContexts` for that working library. In addition, a vertex in the
+		dependency graph is added for that relationship.
+
+		At second, all use clauses are resolved (a use clause my have multiple package member reference symbols). For each
+		referenced package,
+		"""
+		for context in self.IterateDesignUnits(DesignUnitKind.Context):  # type: Context
+			# Create entries in _referenced*** for the current working library under its real name.
+			workingLibrary: Library = context.Library
+			libraryNormalizedIdentifier = workingLibrary._normalizedIdentifier
+
+			context._referencedLibraries[libraryNormalizedIdentifier] = self._libraries[libraryNormalizedIdentifier]
+			context._referencedPackages[libraryNormalizedIdentifier] = {}
+			context._referencedContexts[libraryNormalizedIdentifier] = {}
+
+			# Process all library clauses
+			for libraryReference in context._libraryReferences:
+				# A library clause can have multiple comma-separated references
+				for libraryName in libraryReference.Symbols:
+					libraryNormalizedIdentifier = libraryName.Name._normalizedIdentifier
+					try:
+						library = self._libraries[libraryNormalizedIdentifier]
+					except KeyError:
+						raise ReferencedLibraryNotExistingError(context, libraryName)
+						# TODO: add position to these messages
+
+					libraryName.Library = library
+
+					context._referencedLibraries[libraryNormalizedIdentifier] = library
+					context._referencedPackages[libraryNormalizedIdentifier] = {}
+					context._referencedContexts[libraryNormalizedIdentifier] = {}
+					# TODO: warn duplicate library reference
+
+					dependency = context._dependencyVertex.EdgeToVertex(library._dependencyVertex, edgeValue=libraryReference)
+					dependency["kind"] = DependencyGraphEdgeKind.LibraryClause
+
+			# Process all use clauses
+			for packageReference in context.PackageReferences:
+				# A use clause can have multiple comma-separated references
+				for symbol in packageReference.Symbols:  # type: PackageReferenceSymbol
+					packageName = symbol.Name.Prefix
+					libraryName = packageName.Prefix
+
+					libraryNormalizedIdentifier = libraryName._normalizedIdentifier
+					packageNormalizedIdentifier = packageName._normalizedIdentifier
+
+					# In case work is used, resolve to the real library name.
+					if libraryNormalizedIdentifier == "work":
+						library: Library = context._parent
+						libraryNormalizedIdentifier = library._normalizedIdentifier
+					elif libraryNormalizedIdentifier not in context._referencedLibraries:
+						# TODO: This check doesn't trigger if it's the working library.
+						raise VHDLModelException(f"Use clause references library '{libraryName._identifier}', which was not referenced by a library clause.")
+					else:
+						library = self._libraries[libraryNormalizedIdentifier]
+
+					try:
+						package = library._packages[packageNormalizedIdentifier]
+					except KeyError:
+						raise VHDLModelException(f"Package '{packageName._identifier}' not found in {'working ' if libraryName._normalizedIdentifier == 'work' else ''}library '{library._identifier}'.")
+
+					symbol.Package = package
+
+					# TODO: warn duplicate package reference
+					context._referencedPackages[libraryNormalizedIdentifier][packageNormalizedIdentifier] = package
+
+					dependency = context._dependencyVertex.EdgeToVertex(package._dependencyVertex, edgeValue=packageReference)
+					dependency["kind"] = DependencyGraphEdgeKind.UseClause
+
+					# TODO: update the namespace with visible members
+					if isinstance(symbol, AllPackageMembersReferenceSymbol):
+						pass
+
+					elif isinstance(symbol, PackageMemberReferenceSymbol):
+						raise NotImplementedError()
+					else:
+						raise VHDLModelException()
+
+	def LinkArchitectures(self) -> None:
+		"""
+		Link all architectures to corresponding entities in all libraries.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all libraries:
+
+		   1. Iterate all architecture groups (grouped per entity symbol's name).
+		      |rarr| :meth:`pyVHDLModel.Library.LinkArchitectures`
+
+		      * Check if entity symbol's name exists as an entity in this library.
+
+		      1. For each architecture in the same architecture group:
+
+		         * Add architecture to entities architecture dictionary :attr:`pyVHDLModel.DesignUnit.Entity._architectures`.
+		         * Assign found entity to architecture's entity symbol :attr:`pyVHDLModel.DesignUnit.Architecture._entity`
+		         * Set parent namespace of architecture's namespace to the entitie's namespace.
+		         * Add an edge in the dependency graph from the architecture's corresponding dependency vertex to the entity's corresponding dependency vertex.
+
+		.. seealso::
+
+		   :meth:`LinkPackageBodies`
+		     Link all package bodies to corresponding packages in all libraries.
+		"""
+		for library in self._libraries.values():
+			library.LinkArchitectures()
+
+	def LinkPackageBodies(self) -> None:
+		"""
+		Link all package bodies to corresponding packages in all libraries.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all libraries:
+
+		   1. Iterate all package bodies.
+		      |rarr| :meth:`pyVHDLModel.Library.LinkPackageBodies`
+
+		      * Check if package body symbol's name exists as a package in this library.
+		      * Add package body to package :attr:`pyVHDLModel.DesignUnit.Package._packageBody`.
+		      * Assign found package to package body's package symbol :attr:`pyVHDLModel.DesignUnit.PackageBody._package`
+		      * Set parent namespace of package body's namespace to the package's namespace.
+		      * Add an edge in the dependency graph from the package body's corresponding dependency vertex to the package's corresponding dependency vertex.
+
+		.. seealso::
+
+		   :meth:`LinkArchitectures`
+		     Link all architectures to corresponding entities in all libraries.
+		"""
+		for library in self._libraries.values():
+			library.LinkPackageBodies()
+
+	def LinkLibraryReferences(self) -> None:
+		DEFAULT_LIBRARIES = ("std",)
+
+		for designUnit in self.IterateDesignUnits(DesignUnitKind.WithContext):
+			# All primary units supporting a context, have at least one library implicitly referenced
+			if isinstance(designUnit, PrimaryUnit):
+				for libraryIdentifier in DEFAULT_LIBRARIES:
+					referencedLibrary = self._libraries[libraryIdentifier]
+					designUnit._referencedLibraries[libraryIdentifier] = referencedLibrary
+					designUnit._referencedPackages[libraryIdentifier] = {}
+					designUnit._referencedContexts[libraryIdentifier] = {}
+					# TODO: catch KeyError on self._libraries[libName]
+					# TODO: warn duplicate library reference
+
+					dependency = designUnit._dependencyVertex.EdgeToVertex(referencedLibrary._dependencyVertex)
+					dependency["kind"] = DependencyGraphEdgeKind.LibraryClause
+
+				workingLibrary: Library = designUnit.Library
+				libraryIdentifier = workingLibrary.NormalizedIdentifier
+				referencedLibrary = self._libraries[libraryIdentifier]
+
+
+				designUnit._referencedLibraries[libraryIdentifier] = referencedLibrary
+				designUnit._referencedPackages[libraryIdentifier] = {}
+				designUnit._referencedContexts[libraryIdentifier] = {}
+
+				dependency = designUnit._dependencyVertex.EdgeToVertex(referencedLibrary._dependencyVertex)
+				dependency["kind"] = DependencyGraphEdgeKind.LibraryClause
+
+			# All secondary units inherit referenced libraries from their primary units.
+			else:
+				if isinstance(designUnit, Architecture):
+					referencedLibraries = designUnit.Entity.Entity._referencedLibraries
+				elif isinstance(designUnit, PackageBody):
+					referencedLibraries = designUnit.Package.Package._referencedLibraries
+				else:
+					raise VHDLModelException()
+
+				for libraryIdentifier, library in referencedLibraries.items():
+					designUnit._referencedLibraries[libraryIdentifier] = library
+
+			for libraryReference in designUnit._libraryReferences:
+				# A library clause can have multiple comma-separated references
+				for librarySymbol in libraryReference.Symbols:
+					libraryIdentifier = librarySymbol.Name.NormalizedIdentifier
+					try:
+						library = self._libraries[libraryIdentifier]
+					except KeyError:
+						ex = VHDLModelException(f"Library '{librarySymbol.Name.Identifier}' referenced by library clause of design unit '{designUnit.Identifier}' doesn't exist in design.")
+						ex.add_note(f"""Known libraries: '{"', '".join(library for library in self._libraries)}'""")
+						raise ex
+
+					librarySymbol.Library = library
+					designUnit._referencedLibraries[libraryIdentifier] = library
+					designUnit._referencedPackages[libraryIdentifier] = {}
+					designUnit._referencedContexts[libraryIdentifier] = {}
+					# TODO: warn duplicate library reference
+
+					dependency = designUnit._dependencyVertex.EdgeToVertex(library._dependencyVertex, edgeValue=libraryReference)
+					dependency["kind"] = DependencyGraphEdgeKind.LibraryClause
+
+	def LinkPackageReferences(self) -> None:
+		DEFAULT_PACKAGES = (
+			("std", ("standard",)),
+		)
+
+		for designUnit in self.IterateDesignUnits(DesignUnitKind.WithContext):
+			# All primary units supporting a context, have at least one package implicitly referenced
+			if isinstance(designUnit, PrimaryUnit):
+				if designUnit.Library.NormalizedIdentifier != "std" and \
+					designUnit.NormalizedIdentifier != "standard":
+					for lib in DEFAULT_PACKAGES:
+						if lib[0] not in designUnit._referencedLibraries:
+							raise VHDLModelException()
+						for pack in lib[1]:
+							referencedPackage = self._libraries[lib[0]]._packages[pack]
+							designUnit._referencedPackages[lib[0]][pack] = referencedPackage
+							# TODO: catch KeyError on self._libraries[lib[0]]._packages[pack]
+							# TODO: warn duplicate package reference
+
+							dependency = designUnit._dependencyVertex.EdgeToVertex(referencedPackage._dependencyVertex)
+							dependency["kind"] = DependencyGraphEdgeKind.UseClause
+
+			# All secondary units inherit referenced packages from their primary units.
+			else:
+				if isinstance(designUnit, Architecture):
+					referencedPackages = designUnit.Entity.Entity._referencedPackages
+				elif isinstance(designUnit, PackageBody):
+					referencedPackages = designUnit.Package.Package._referencedPackages
+				else:
+					raise VHDLModelException()
+
+				for packageIdentifier, package in referencedPackages.items():
+					designUnit._referencedPackages[packageIdentifier] = package
+
+			for packageReference in designUnit.PackageReferences:
+				# A use clause can have multiple comma-separated references
+				for packageMemberSymbol in packageReference.Symbols:
+					packageName = packageMemberSymbol.Name.Prefix
+					libraryName = packageName.Prefix
+
+					libraryIdentifier = libraryName.NormalizedIdentifier
+					packageIdentifier = packageName.NormalizedIdentifier
+
+					# In case work is used, resolve to the real library name.
+					if libraryIdentifier == "work":
+						library: Library = designUnit.Library
+						libraryIdentifier = library.NormalizedIdentifier
+					elif libraryIdentifier not in designUnit._referencedLibraries:
+						# TODO: This check doesn't trigger if it's the working library.
+						raise VHDLModelException(f"Use clause references library '{libraryName.Identifier}', which was not referenced by a library clause.")
+					else:
+						library = self._libraries[libraryIdentifier]
+
+					try:
+						package = library._packages[packageIdentifier]
+					except KeyError:
+						ex = VHDLModelException(f"Package '{packageName.Identifier}' not found in {'working ' if libraryName.NormalizedIdentifier == 'work' else ''}library '{library.Identifier}'.")
+						ex.add_note(f"Caused in design unit '{designUnit}' in file '{designUnit.Document}'.")
+						raise ex
+
+					packageMemberSymbol.Package = package
+
+					# TODO: warn duplicate package reference
+					designUnit._referencedPackages[libraryIdentifier][packageIdentifier] = package
+
+					dependency = designUnit._dependencyVertex.EdgeToVertex(package._dependencyVertex, edgeValue=packageReference)
+					dependency["kind"] = DependencyGraphEdgeKind.UseClause
+
+					# TODO: update the namespace with visible members
+					if isinstance(packageMemberSymbol, AllPackageMembersReferenceSymbol):
+						for componentIdentifier, component in package._components.items():
+							designUnit._namespace._elements[componentIdentifier] = component
+
+					elif isinstance(packageMemberSymbol, PackageMemberReferenceSymbol):
+						raise NotImplementedError()
+					else:
+						raise VHDLModelException()
+
+	def LinkContextReferences(self) -> None:
+		for designUnit in self.IterateDesignUnits():
+			for contextReference in designUnit._contextReferences:
+				# A context reference can have multiple comma-separated references
+				for contextSymbol in contextReference.Symbols:
+					libraryName = contextSymbol.Name.Prefix
+
+					libraryIdentifier = libraryName.NormalizedIdentifier
+					contextIdentifier = contextSymbol.Name.NormalizedIdentifier
+
+					# In case work is used, resolve to the real library name.
+					if libraryIdentifier == "work":
+						referencedLibrary = designUnit.Library
+						libraryIdentifier = referencedLibrary.NormalizedIdentifier
+					elif libraryIdentifier not in designUnit._referencedLibraries:
+						# TODO: This check doesn't trigger if it's the working library.
+						raise VHDLModelException(f"Context reference references library '{libraryName.Identifier}', which was not referenced by a library clause.")
+					else:
+						referencedLibrary = self._libraries[libraryIdentifier]
+
+					try:
+						referencedContext = referencedLibrary._contexts[contextIdentifier]
+					except KeyError:
+						raise VHDLModelException(f"Context '{contextSymbol.Name.Identifier}' not found in {'working ' if libraryName.NormalizedIdentifier == 'work' else ''}library '{referencedLibrary.Identifier}'.")
+
+					contextSymbol.Package = referencedContext
+
+					# TODO: warn duplicate referencedContext reference
+					designUnit._referencedContexts[libraryIdentifier][contextIdentifier] = referencedContext
+
+					dependency = designUnit._dependencyVertex.EdgeToVertex(referencedContext._dependencyVertex, edgeValue=contextReference)
+					dependency["kind"] = DependencyGraphEdgeKind.ContextReference
+
+		for vertex in self._dependencyGraph.IterateTopologically():
+			if vertex["kind"] is DependencyGraphVertexKind.Context:
+				context: Context = vertex.Value
+				for designUnitVertex in vertex.IteratePredecessorVertices():
+					designUnit: DesignUnit = designUnitVertex.Value
+					for libraryIdentifier, library in context._referencedLibraries.items():
+						# if libraryIdentifier in designUnit._referencedLibraries:
+						# 	raise VHDLModelException(f"Referenced library '{library.Identifier}' already exists in references for design unit '{designUnit.Identifier}'.")
+
+						designUnit._referencedLibraries[libraryIdentifier] = library
+						designUnit._referencedPackages[libraryIdentifier] = {}
+
+					for libraryIdentifier, packages in context._referencedPackages.items():
+						for packageIdentifier, package in packages.items():
+							if packageIdentifier in designUnit._referencedPackages:
+								raise VHDLModelException(f"Referenced package '{package.Identifier}' already exists in references for design unit '{designUnit.Identifier}'.")
+
+							designUnit._referencedPackages[libraryIdentifier][packageIdentifier] = package
+
+	def LinkComponents(self) -> None:
+		for package in self.IterateDesignUnits(DesignUnitKind.Package):  # type: Package
+			library = package._parent
+			for component in package._components.values():
+				try:
+					entity = library._entities[component.NormalizedIdentifier]
+				except KeyError:
+					print(f"Entity '{component.Identifier}' not found for component '{component.Identifier}' in library '{library.Identifier}'.")
+
+				component.Entity = entity
+
+				# QUESTION: Add link in dependency graph as dashed line from component to entity?
+				#           Currently, component has no _dependencyVertex field
+
+	def LinkInstantiations(self) -> None:
+		for architecture in self.IterateDesignUnits(DesignUnitKind.Architecture):  # type: Architecture
+			for instance in architecture.IterateInstantiations():
+				if isinstance(instance, EntityInstantiation):
+					libraryName = instance.Entity.Name.Prefix
+					libraryIdentifier = libraryName.Identifier
+					normalizedLibraryIdentifier = libraryName.NormalizedIdentifier
+					if normalizedLibraryIdentifier == "work":
+						libraryIdentifier = architecture.Library.Identifier
+						normalizedLibraryIdentifier = architecture.Library.NormalizedIdentifier
+					elif normalizedLibraryIdentifier not in architecture._referencedLibraries:
+						ex = VHDLModelException(f"Referenced library '{libraryIdentifier}' in direct entity instantiation '{instance.Label}: entity {instance.Entity.Prefix.Identifier}.{instance.Entity.Identifier}' not found in architecture '{architecture!r}'.")
+						ex.add_note(f"Add a library reference to the architecture or entity using a library clause like: 'library {libraryIdentifier};'.")
+						raise ex
+
+					try:
+						library = self._libraries[normalizedLibraryIdentifier]
+					except KeyError:
+						ex = VHDLModelException(f"Referenced library '{libraryIdentifier}' in direct entity instantiation '{instance.Label}: entity {instance.Entity.Prefix.Identifier}.{instance.Entity.Identifier}' not found in design.")
+						ex.add_note(f"No design units were parsed into library '{libraryIdentifier}'. Thus it doesn't exist in design.")
+						raise ex
+
+					try:
+						entity = library._entities[instance.Entity.Name.NormalizedIdentifier]
+					except KeyError:
+						ex = VHDLModelException(f"Referenced entity '{instance.Entity.Name.Identifier}' in direct entity instantiation '{instance.Label}: entity {instance.Entity.Name.Prefix.Identifier}.{instance.Entity.Name.Identifier}' not found in {'working ' if instance.Entity.Name.Prefix.NormalizedIdentifier == 'work' else ''}library '{libraryIdentifier}'.")
+						libs = [library.Identifier for library in self._libraries.values() for entityIdentifier in library._entities.keys() if entityIdentifier == instance.Entity.Name.NormalizedIdentifier]
+						if libs:
+							ex.add_note(f"Found entity '{instance.Entity!s}' in other libraries: {', '.join(libs)}")
+						raise ex
+
+					instance.Entity.Entity = entity
+
+					dependency = architecture._dependencyVertex.EdgeToVertex(entity._dependencyVertex, edgeValue=instance)
+					dependency["kind"] = DependencyGraphEdgeKind.EntityInstantiation
+
+				elif isinstance(instance, ComponentInstantiation):
+					component = architecture._namespace.FindComponent(instance.Component)
+
+					instance.Component.Component = component
+
+					dependency = architecture._dependencyVertex.EdgeToVertex(component.Entity._dependencyVertex, edgeValue=instance)
+					dependency["kind"] = DependencyGraphEdgeKind.ComponentInstantiation
+
+				elif isinstance(instance, ConfigurationInstantiation):
+					# pass
+					print(instance.Label, instance.Configuration)
+
+	def IndexPackages(self) -> None:
+		"""
+		Index all declared items in all packages in all libraries.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all libraries:
+
+		   1. Iterate all packages |br|
+		      |rarr| :meth:`pyVHDLModel.Library.IndexPackages`
+
+		      * Index all declared items in that package. |br|
+		        |rarr| :meth:`pyVHDLModel.DesignUnit.Package.IndexDeclaredItems`
+
+		.. seealso::
+
+		   :meth:`IndexPackageBodies`
+		     Index all declared items in all package bodies in all libraries.
+		   :meth:`IndexEntities`
+		     Index all declared items in all entities in all libraries.
+		   :meth:`IndexArchitectures`
+		     Index all declared items in all architectures in all libraries.
+		"""
+		for library in self._libraries.values():
+			library.IndexPackages()
+
+	def IndexPackageBodies(self) -> None:
+		"""
+		Index all declared items in all packages in all libraries.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all libraries:
+
+		   1. Iterate all packages |br|
+		      |rarr| :meth:`pyVHDLModel.Library.IndexPackageBodies`
+
+		      * Index all declared items in that package body. |br|
+		        |rarr| :meth:`pyVHDLModel.DesignUnit.PackageBody.IndexDeclaredItems`
+
+		.. seealso::
+
+		   :meth:`IndexPackages`
+		     Index all declared items in all packages in all libraries.
+		   :meth:`IndexEntities`
+		     Index all declared items in all entities in all libraries.
+		   :meth:`IndexArchitectures`
+		     Index all declared items in all architectures in all libraries.
+		"""
+		for library in self._libraries.values():
+			library.IndexPackageBodies()
+
+	def IndexEntities(self) -> None:
+		"""
+		Index all declared items in all packages in all libraries.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all libraries:
+
+		   1. Iterate all packages |br|
+		      |rarr| :meth:`pyVHDLModel.Library.IndexEntities`
+
+		      * Index all declared items in that entity. |br|
+		        |rarr| :meth:`pyVHDLModel.DesignUnit.Entity.IndexDeclaredItems`
+
+		.. seealso::
+
+		   :meth:`IndexPackages`
+		     Index all declared items in all packages in all libraries.
+		   :meth:`IndexPackageBodies`
+		     Index all declared items in all package bodies in all libraries.
+		   :meth:`IndexArchitectures`
+		     Index all declared items in all architectures in all libraries.
+		"""
+		for library in self._libraries.values():
+			library.IndexEntities()
+
+	def IndexArchitectures(self) -> None:
+		"""
+		Index all declared items in all packages in all libraries.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all libraries:
+
+		   1. Iterate all packages |br|
+		      |rarr| :meth:`pyVHDLModel.Library.IndexArchitectures`
+
+		      * Index all declared items in that architecture. |br|
+		        |rarr| :meth:`pyVHDLModel.DesignUnit.Architecture.IndexDeclaredItems`
+
+		.. seealso::
+
+		   :meth:`IndexPackages`
+		     Index all declared items in all packages in all libraries.
+		   :meth:`IndexPackageBodies`
+		     Index all declared items in all package bodies in all libraries.
+		   :meth:`IndexEntities`
+		     Index all declared items in all entities in all libraries.
+		"""
+		for library in self._libraries.values():
+			library.IndexArchitectures()
+
+	def CreateHierarchyGraph(self) -> None:
+		"""
+		Create the hierarchy graph from dependency graph.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all vertices corresponding to entities and architectures in the dependency graph:
+
+		   * Copy these vertices to the hierarchy graph and create a bidirectional linking. |br|
+		     In addition, set the referenced design unit's :attr:`~pyVHDLModel.Document._hierarchyVertex` field to reference the copied vertex.
+
+		     * Add a key-value-pair called ``hierarchyVertex`` to the dependency graph's vertex.
+		     * Add a key-value-pair called ``dependencyVertex`` to the hierarchy graph's vertex.
+
+		2. Iterate all architectures ...
+
+		   .. todo:: Design::CreateHierarchyGraph describe algorithm
+
+		   1. Iterate all outbound edges
+
+		      .. todo:: Design::CreateHierarchyGraph describe algorithm
+		"""
+		# Copy all entity and architecture vertices from dependency graph to hierarchy graph and double-link them
+		entityArchitectureFilter = lambda v: v["kind"] in DependencyGraphVertexKind.Entity | DependencyGraphVertexKind.Architecture
+		for vertex in self._dependencyGraph.IterateVertices(predicate=entityArchitectureFilter):
+			hierarchyVertex = vertex.Copy(self._hierarchyGraph, copyDict=True, linkingKeyToOriginalVertex="dependencyVertex", linkingKeyFromOriginalVertex="hierarchyVertex")
+			vertex.Value._hierarchyVertex = hierarchyVertex
+
+		# Copy implementation edges from
+		for hierarchyArchitectureVertex in self._hierarchyGraph.IterateVertices(predicate=lambda v: v["kind"] is DependencyGraphVertexKind.Architecture):
+			for dependencyEdge in hierarchyArchitectureVertex["dependencyVertex"].IterateOutboundEdges():
+				kind: DependencyGraphEdgeKind = dependencyEdge["kind"]
+				if DependencyGraphEdgeKind.Implementation in kind:
+					hierarchyDestinationVertex = dependencyEdge.Destination["hierarchyVertex"]
+					newEdge = hierarchyArchitectureVertex.EdgeFromVertex(hierarchyDestinationVertex)
+				elif DependencyGraphEdgeKind.Instantiation in kind:
+					hierarchyDestinationVertex = dependencyEdge.Destination["hierarchyVertex"]
+
+					# FIXME: avoid parallel edges, to graph can be converted to a tree until "real" hierarchy is computed (unrole generics and blocks)
+					if hierarchyArchitectureVertex.HasEdgeToDestination(hierarchyDestinationVertex):
+						continue
+
+					newEdge = hierarchyArchitectureVertex.EdgeToVertex(hierarchyDestinationVertex)
+				else:
+					continue
+
+				newEdge["kind"] = kind
+
+	def ComputeCompileOrder(self) -> None:
+		def predicate(edge: Edge) -> bool:
+			return (
+				DependencyGraphEdgeKind.Implementation in edge["kind"] or
+				DependencyGraphEdgeKind.Instantiation in edge["kind"] or
+				DependencyGraphEdgeKind.UseClause in edge["kind"] or
+				DependencyGraphEdgeKind.ContextReference in edge["kind"]
+			) and edge.Destination["predefined"] is False
+
+		for edge in self._dependencyGraph.IterateEdges(predicate=predicate):
+			sourceDocument:      Document = edge.Source.Value.Document
+			destinationDocument: Document = edge.Destination.Value.Document
+
+			sourceVertex =      sourceDocument._compileOrderVertex
+			destinationVertex = destinationDocument._compileOrderVertex
+
+			# Don't add self-edges
+			if sourceVertex is destinationVertex:
+				continue
+			# Don't add parallel edges
+			elif sourceVertex.HasEdgeToDestination(destinationVertex):
+				continue
+
+			e = sourceVertex.EdgeToVertex(destinationVertex)
+			e["kind"] = DependencyGraphEdgeKind.CompileOrder
+
+			e = sourceVertex["dependencyVertex"].EdgeToVertex(destinationVertex["dependencyVertex"])
+			e["kind"] = DependencyGraphEdgeKind.CompileOrder
+
+	def IterateDocumentsInCompileOrder(self) -> Generator['Document', None, None]:
+		"""
+		Iterate all document in compile-order.
+
+		.. rubric:: Algorithm
+
+		* Check if compile-order graph was populated with vertices and its vertices are linked by edges.
+
+		1. Iterate compile-order graph in topological order. |br|
+		   :meth:`pyTooling.Graph.Graph.IterateTopologically`
+
+		   * yield the compiler-order vertex' referenced document.
+
+		:returns:                   A generator to iterate all documents in compile-order in the design.
+		:raises VHDLModelException: If compile-order was not computed.
+
+		.. seealso::
+
+		   .. todo:: missing text
+
+		      :meth:`pyVHDLModel.Design.ComputeCompileOrder`
+
+		"""
+		if self._compileOrderGraph.EdgeCount < self._compileOrderGraph.VertexCount - 1:
+			raise VHDLModelException(f"Compile order is not yet computed from dependency graph.")
+
+		for compileOrderNode in self._compileOrderGraph.IterateTopologically():
+			yield compileOrderNode.Value
+
+	def GetUnusedDesignUnits(self) -> List[DesignUnit]:
+		raise NotImplementedError()
+
+	def __repr__(self) -> str:
+		"""
+		Formats a representation of the design.
+
+		**Format:** ``Document: 'my_design'``
+
+		:returns: String representation of the design.
+		"""
+		return f"Design: {self._name}"
+
+	__str__ = __repr__
+
+
+@export
+class Library(ModelEntity, NamedEntityMixin):
+	"""A ``Library`` represents a VHDL library. It contains all *primary* and *secondary* design units."""
+
+	_contexts:       Dict[str, Context]                  #: Dictionary of all contexts defined in a library.
+	_configurations: Dict[str, Configuration]            #: Dictionary of all configurations defined in a library.
+	_entities:       Dict[str, Entity]                   #: Dictionary of all entities defined in a library.
+	_architectures:  Dict[str, Dict[str, Architecture]]  #: Dictionary of all architectures defined in a library.
+	_packages:       Dict[str, Package]                  #: Dictionary of all packages defined in a library.
+	_packageBodies:  Dict[str, PackageBody]              #: Dictionary of all package bodies defined in a library.
+
+	_dependencyVertex: Vertex[None, None, str, Union['Library', DesignUnit], None, None, None, None, None, None, None, None, None, None, None, None, None]  #: Reference to the vertex in the dependency graph representing the library. |br| This reference is set by :meth:`~pyVHDLModel.Design.CreateDependencyGraph`.
+
+	def __init__(self, identifier: str, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+		NamedEntityMixin.__init__(self, identifier)
+
+		self._contexts =        {}
+		self._configurations =  {}
+		self._entities =        {}
+		self._architectures =   {}
+		self._packages =        {}
+		self._packageBodies =   {}
+
+		self._dependencyVertex = None
+
+	@readonly
+	def Contexts(self) -> Dict[str, Context]:
+		"""Returns a list of all context declarations declared in this library."""
+		return self._contexts
+
+	@readonly
+	def Configurations(self) -> Dict[str, Configuration]:
+		"""Returns a list of all configuration declarations declared in this library."""
+		return self._configurations
+
+	@readonly
+	def Entities(self) -> Dict[str, Entity]:
+		"""Returns a list of all entity declarations declared in this library."""
+		return self._entities
+
+	@readonly
+	def Architectures(self) -> Dict[str, Dict[str, Architecture]]:
+		"""Returns a list of all architectures declarations declared in this library."""
+		return self._architectures
+
+	@readonly
+	def Packages(self) -> Dict[str, Package]:
+		"""Returns a list of all package declarations declared in this library."""
+		return self._packages
+
+	@readonly
+	def PackageBodies(self) -> Dict[str, PackageBody]:
+		"""Returns a list of all package body declarations declared in this library."""
+		return self._packageBodies
+
+	@readonly
+	def DependencyVertex(self) -> Vertex:
+		"""
+		Read-only property to access the corresponding dependency vertex (:attr:`_dependencyVertex`).
+
+		The dependency vertex references this library by its value field.
+
+		:returns: The corresponding dependency vertex.
+		"""
+		return self._dependencyVertex
+
+	def IterateDesignUnits(self, filter: DesignUnitKind = DesignUnitKind.All) -> Generator[DesignUnit, None, None]:
+		"""
+		Iterate all design units in the library.
+
+		A union of :class:`DesignUnitKind` values can be given to filter the returned result for suitable design units.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all contexts in that library.
+		2. Iterate all packages in that library.
+		3. Iterate all package bodies in that library.
+		4. Iterate all entites in that library.
+		5. Iterate all architectures in that library.
+		6. Iterate all configurations in that library.
+
+		:param filter: An enumeration with possibly multiple flags to filter the returned design units.
+		:returns:      A generator to iterate all matched design units in the library.
+
+		.. seealso::
+
+		   :meth:`pyVHDLModel.Design.IterateDesignUnits`
+		     Iterate all design units in the design.
+		   :meth:`pyVHDLModel.Document.IterateDesignUnits`
+		     Iterate all design units in the document.
+		"""
+		if DesignUnitKind.Context in filter:
+			for context in self._contexts.values():
+				yield context
+
+		if DesignUnitKind.Package in filter:
+			for package in self._packages.values():
+				yield package
+
+		if DesignUnitKind.PackageBody in filter:
+			for packageBody in self._packageBodies.values():
+				yield packageBody
+
+		if DesignUnitKind.Entity in filter:
+			for entity in self._entities.values():
+				yield entity
+
+		if DesignUnitKind.Architecture in filter:
+			for architectures in self._architectures.values():
+				for architecture in architectures.values():
+					yield architecture
+
+		if DesignUnitKind.Configuration in filter:
+			for configuration in self._configurations.values():
+				yield configuration
+
+		# for verificationProperty in self._verificationUnits.values():
+		# 	yield verificationProperty
+		# for verificationUnit in self._verificationProperties.values():
+		# 	yield entity
+		# for verificationMode in self._verificationModes.values():
+		# 	yield verificationMode
+
+	def LinkArchitectures(self) -> None:
+		"""
+		Link all architectures to corresponding entities.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all architecture groups (grouped per entity symbol's name).
+
+		   * Check if entity symbol's name exists as an entity in this library.
+
+		   1. For each architecture in the same architecture group:
+
+		      * Add architecture to entities architecture dictionary :attr:`pyVHDLModel.DesignUnit.Entity._architectures`.
+		      * Assign found entity to architecture's entity symbol :attr:`pyVHDLModel.DesignUnit.Architecture._entity`
+		      * Set parent namespace of architecture's namespace to the entitie's namespace.
+		      * Add an edge in the dependency graph from the architecture's corresponding dependency vertex to the entity's corresponding dependency vertex.
+
+		:raises VHDLModelException: If entity name doesn't exist.
+		:raises VHDLModelException: If architecture name already exists for entity.
+
+		.. seealso::
+
+		   :meth:`LinkPackageBodies`
+		     Link all package bodies to corresponding packages.
+		"""
+		for entityName, architecturesPerEntity in self._architectures.items():
+			if entityName not in self._entities:
+				architectureNames = "', '".join(architecturesPerEntity.keys())
+				raise VHDLModelException(f"Entity '{entityName}' referenced by architecture(s) '{architectureNames}' doesn't exist in library '{self._identifier}'.")
+			# TODO: search in other libraries to find that entity.
+			# TODO: add code position
+
+			entity = self._entities[entityName]
+			for architecture in architecturesPerEntity.values():
+				if architecture._normalizedIdentifier in entity._architectures:
+					raise VHDLModelException(f"Architecture '{architecture._identifier}' already exists for entity '{entity._identifier}'.")
+				# TODO: add code position of existing and current
+
+				entity._architectures[architecture._normalizedIdentifier] = architecture
+				architecture._entity.Entity = entity
+				architecture._namespace._parentNamespace = entity._namespace
+
+				# add "architecture -> entity" relation in dependency graph
+				dependency = architecture._dependencyVertex.EdgeToVertex(entity._dependencyVertex)
+				dependency["kind"] = DependencyGraphEdgeKind.EntityImplementation
+
+	def LinkPackageBodies(self) -> None:
+		"""
+		Link all package bodies to corresponding packages.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all package bodies.
+
+		   * Check if package body symbol's name exists as a package in this library.
+		   * Add package body to package :attr:`pyVHDLModel.DesignUnit.Package._packageBody`.
+		   * Assign found package to package body's package symbol :attr:`pyVHDLModel.DesignUnit.PackageBody._package`
+		   * Set parent namespace of package body's namespace to the package's namespace.
+		   * Add an edge in the dependency graph from the package body's corresponding dependency vertex to the package's corresponding dependency vertex.
+
+		:raises VHDLModelException: If package name doesn't exist.
+
+		.. seealso::
+
+		   :meth:`LinkArchitectures`
+		     Link all architectures to corresponding entities.
+		"""
+		for packageBodyName, packageBody in self._packageBodies.items():
+			if packageBodyName not in self._packages:
+				raise VHDLModelException(f"Package '{packageBodyName}' referenced by package body '{packageBodyName}' doesn't exist in library '{self._identifier}'.")
+
+			package = self._packages[packageBodyName]
+			package._packageBody = packageBody    # TODO: add warning if package had already a body, which is now replaced
+			packageBody._package.Package = package
+			packageBody._namespace._parentNamespace = package._namespace
+
+			# add "package body -> package" relation in dependency graph
+			dependency = packageBody._dependencyVertex.EdgeToVertex(package._dependencyVertex)
+			dependency["kind"] = DependencyGraphEdgeKind.PackageImplementation
+
+	def IndexPackages(self) -> None:
+		"""
+		Index declared items in all packages.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all packages:
+
+		   * Index all declared items. |br|
+		     |rarr| :meth:`pyVHDLModel.DesignUnit.Package.IndexDeclaredItems`
+
+		.. seealso::
+
+		   :meth:`IndexPackageBodies`
+		     Index all declared items in a package body.
+		   :meth:`IndexEntities`
+		     Index all declared items in an entity.
+		   :meth:`IndexArchitectures`
+		     Index all declared items in an architecture.
+		"""
+		for package in self._packages.values():
+			if isinstance(package, Package):
+				package.IndexDeclaredItems()
+
+	def IndexPackageBodies(self) -> None:
+		"""
+		Index declared items in all package bodies.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all package bodies:
+
+		   * Index all declared items. |br|
+		     |rarr| :meth:`pyVHDLModel.DesignUnit.PackageBody.IndexDeclaredItems`
+
+		.. seealso::
+
+		   :meth:`IndexPackages`
+		     Index all declared items in a package.
+		   :meth:`IndexEntities`
+		     Index all declared items in an entity.
+		   :meth:`IndexArchitectures`
+		     Index all declared items in an architecture.
+		"""
+		for packageBody in self._packageBodies.values():
+			packageBody.IndexDeclaredItems()
+
+	def IndexEntities(self) -> None:
+		"""
+		Index declared items in all entities.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all entities:
+
+		   * Index all declared items. |br|
+		     |rarr| :meth:`pyVHDLModel.DesignUnit.Entity.IndexDeclaredItems`
+
+		.. seealso::
+
+		   :meth:`IndexPackages`
+		     Index all declared items in a package.
+		   :meth:`IndexPackageBodies`
+		     Index all declared items in a package body.
+		   :meth:`IndexArchitectures`
+		     Index all declared items in an architecture.
+		"""
+		for entity in self._entities.values():
+			entity.IndexDeclaredItems()
+
+	def IndexArchitectures(self) -> None:
+		"""
+		Index declared items in all architectures.
+
+		.. rubric:: Algorithm
+
+		1. Iterate all architectures:
+
+		   * Index all declared items. |br|
+		     |rarr| :meth:`pyVHDLModel.DesignUnit.Architecture.IndexDeclaredItems`
+
+		.. seealso::
+
+		   :meth:`IndexPackages`
+		     Index all declared items in a package.
+		   :meth:`IndexPackageBodies`
+		     Index all declared items in a package body.
+		   :meth:`IndexEntities`
+		     Index all declared items in an entity.
+		"""
+		for architectures in self._architectures.values():
+			for architecture in architectures.values():
+				architecture.IndexDeclaredItems()
+				architecture.IndexStatements()
+
+	def __repr__(self) -> str:
+		"""
+		Formats a representation of the library.
+
+		**Format:** ``Library: 'my_library'``
+
+		:returns: String representation of the library.
+		"""
+		return f"Library: '{self._identifier}'"
+
+	__str__ = __repr__
+
+
+@export
+class Document(ModelEntity, DocumentedEntityMixin):
+	"""A ``Document`` represents a sourcefile. It contains *primary* and *secondary* design units."""
+
+	_path:                   Path                                #: path to the document. ``None`` if virtual document.
+	_designUnits:            List[DesignUnit]                    #: List of all design units defined in a document.
+	_contexts:               Dict[str, Context]                  #: Dictionary of all contexts defined in a document.
+	_configurations:         Dict[str, Configuration]            #: Dictionary of all configurations defined in a document.
+	_entities:               Dict[str, Entity]                   #: Dictionary of all entities defined in a document.
+	_architectures:          Dict[str, Dict[str, Architecture]]  #: Dictionary of all architectures defined in a document.
+	_packages:               Dict[str, Package]                  #: Dictionary of all packages defined in a document.
+	_packageBodies:          Dict[str, PackageBody]              #: Dictionary of all package bodies defined in a document.
+	_verificationUnits:      Dict[str, VerificationUnit]         #: Dictionary of all PSL verification units defined in a document.
+	_verificationProperties: Dict[str, VerificationProperty]     #: Dictionary of all PSL verification properties defined in a document.
+	_verificationModes:      Dict[str, VerificationMode]         #: Dictionary of all PSL verification modes defined in a document.
+
+	_dependencyVertex:       Vertex[None, None, None, 'Document', None, None, None, None, None, None, None, None, None, None, None, None, None]  #: Reference to the vertex in the dependency graph representing the document. |br| This reference is set by :meth:`~pyVHDLModel.Design.CreateCompileOrderGraph`.
+	_compileOrderVertex:     Vertex[None, None, None, 'Document', None, None, None, None, None, None, None, None, None, None, None, None, None]  #: Reference to the vertex in the compile-order graph representing the document. |br| This reference is set by :meth:`~pyVHDLModel.Design.CreateCompileOrderGraph`.
+
+	def __init__(self, path: Path, documentation: Nullable[str] = None, parent: ModelEntity = None) -> None:
+		super().__init__(parent)
+		DocumentedEntityMixin.__init__(self, documentation)
+
+		self._path =                   path
+		self._designUnits =            []
+		self._contexts =               {}
+		self._configurations =         {}
+		self._entities =               {}
+		self._architectures =          {}
+		self._packages =               {}
+		self._packageBodies =          {}
+		self._verificationUnits =      {}
+		self._verificationProperties = {}
+		self._verificationModes =      {}
+
+		self._dependencyVertex =   None
+		self._compileOrderVertex = None
+
+	def _AddEntity(self, item: Entity) -> None:
+		"""
+		Add an entity to the document's lists of design units.
+
+		:param item:                Entity object to be added to the document.
+		:raises TypeError:          If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Entity`.
+		:raises VHDLModelException: If entity name already exists in document.
+		"""
+		if not isinstance(item, Entity):
+			ex = TypeError(f"Parameter 'item' is not of type 'Entity'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		identifier = item._normalizedIdentifier
+		if identifier in self._entities:
+			# TODO: use a more specific exception
+			raise VHDLModelException(f"An entity '{item._identifier}' already exists in this document.")
+
+		self._entities[identifier] = item
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddArchitecture(self, item: Architecture) -> None:
+		"""
+		Add an architecture to the document's lists of design units.
+
+		:param item:                Architecture object to be added to the document.
+		:raises TypeError:          If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Architecture`.
+		:raises VHDLModelException: If architecture name already exists for the referenced entity name in document.
+		"""
+		if not isinstance(item, Architecture):
+			ex = TypeError(f"Parameter 'item' is not of type 'Architecture'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		entity = item._entity.Name
+		entityIdentifier = entity._normalizedIdentifier
+		try:
+			architectures = self._architectures[entityIdentifier]
+			if item._normalizedIdentifier in architectures:
+				# TODO: use a more specific exception
+				# FIXME: this is allowed and should be a warning or a strict mode.
+				raise VHDLModelException(f"An architecture '{item._identifier}' for entity '{entity._identifier}' already exists in this document.")
+
+			architectures[item.Identifier] = item
+		except KeyError:
+			self._architectures[entityIdentifier] = {item._identifier: item}
+
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddPackage(self, item: Package) -> None:
+		"""
+		Add a package to the document's lists of design units.
+
+		:param item:                Package object to be added to the document.
+		:raises TypeError:          If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Package`.
+		:raises VHDLModelException: If package name already exists in document.
+		"""
+		if not isinstance(item, (Package, PackageInstantiation)):
+			ex = TypeError(f"Parameter 'item' is not of type 'Package' or 'PackageInstantiation'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		identifier = item._normalizedIdentifier
+		if identifier in self._packages:
+			# TODO: use a more specific exception
+			raise VHDLModelException(f"A package '{item._identifier}' already exists in this document.")
+
+		self._packages[identifier] = item
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddPackageBody(self, item: PackageBody) -> None:
+		"""
+		Add a package body to the document's lists of design units.
+
+		:param item:                Package body object to be added to the document.
+		:raises TypeError:          If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.PackageBody`.
+		:raises VHDLModelException: If package body name already exists in document.
+		"""
+		if not isinstance(item, PackageBody):
+			ex = TypeError(f"Parameter 'item' is not of type 'PackageBody'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		identifier = item._normalizedIdentifier
+		if identifier in self._packageBodies:
+			# TODO: use a more specific exception
+			raise VHDLModelException(f"A package body '{item._identifier}' already exists in this document.")
+
+		self._packageBodies[identifier] = item
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddContext(self, item: Context) -> None:
+		"""
+		Add a context to the document's lists of design units.
+
+		:param item:                Context object to be added to the document.
+		:raises TypeError:          If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Context`.
+		:raises VHDLModelException: If context name already exists in document.
+		"""
+		if not isinstance(item, Context):
+			ex = TypeError(f"Parameter 'item' is not of type 'Context'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		identifier = item._normalizedIdentifier
+		if identifier in self._contexts:
+			# TODO: use a more specific exception
+			raise VHDLModelException(f"A context '{item._identifier}' already exists in this document.")
+
+		self._contexts[identifier] = item
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddConfiguration(self, item: Configuration) -> None:
+		"""
+		Add a configuration to the document's lists of design units.
+
+		:param item:                Configuration object to be added to the document.
+		:raises TypeError:          If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.Configuration`.
+		:raises VHDLModelException: If configuration name already exists in document.
+		"""
+		if not isinstance(item, Configuration):
+			ex = TypeError(f"Parameter 'item' is not of type 'Configuration'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		identifier = item._normalizedIdentifier
+		if identifier in self._configurations:
+			# TODO: use a more specific exception
+			raise VHDLModelException(f"A configuration '{item._identifier}' already exists in this document.")
+
+		self._configurations[identifier] = item
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddVerificationUnit(self, item: VerificationUnit) -> None:
+		if not isinstance(item, VerificationUnit):
+			ex = TypeError(f"Parameter 'item' is not of type 'VerificationUnit'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		identifier = item._normalizedIdentifier
+		if identifier in self._verificationUnits:
+			raise ValueError(f"A verification unit '{item._identifier}' already exists in this document.")
+
+		self._verificationUnits[identifier] = item
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddVerificationProperty(self, item: VerificationProperty) -> None:
+		if not isinstance(item, VerificationProperty):
+			ex = TypeError(f"Parameter 'item' is not of type 'VerificationProperty'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		identifier = item.NormalizedIdentifier
+		if identifier in self._verificationProperties:
+			raise ValueError(f"A verification property '{item.Identifier}' already exists in this document.")
+
+		self._verificationProperties[identifier] = item
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddVerificationMode(self, item: VerificationMode) -> None:
+		if not isinstance(item, VerificationMode):
+			ex = TypeError(f"Parameter 'item' is not of type 'VerificationMode'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		identifier = item.NormalizedIdentifier
+		if identifier in self._verificationModes:
+			raise ValueError(f"A verification mode '{item.Identifier}' already exists in this document.")
+
+		self._verificationModes[identifier] = item
+		self._designUnits.append(item)
+		item._document = self
+
+	def _AddDesignUnit(self, item: DesignUnit) -> None:
+		"""
+		Add a design unit to the document's lists of design units.
+
+		:param item:                Configuration object to be added to the document.
+		:raises TypeError:          If parameter 'item' is not of type :class:`~pyVHDLModel.DesignUnits.DesignUnit`.
+		:raises ValueError:         If parameter 'item' is an unknown :class:`~pyVHDLModel.DesignUnits.DesignUnit`.
+		:raises VHDLModelException: If configuration name already exists in document.
+		"""
+		if not isinstance(item, DesignUnit):
+			ex = TypeError(f"Parameter 'item' is not of type 'DesignUnit'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+		if isinstance(item, Entity):
+			self._AddEntity(item)
+		elif isinstance(item, Architecture):
+			self._AddArchitecture(item)
+		elif isinstance(item, Package):
+			self._AddPackage(item)
+		elif isinstance(item, PackageBody):
+			self._AddPackageBody(item)
+		elif isinstance(item, Context):
+			self._AddContext(item)
+		elif isinstance(item, Configuration):
+			self._AddConfiguration(item)
+		elif isinstance(item, VerificationUnit):
+			self._AddVerificationUnit(item)
+		elif isinstance(item, VerificationProperty):
+			self._AddVerificationProperty(item)
+		elif isinstance(item, VerificationMode):
+			self._AddVerificationMode(item)
+		else:
+			ex = ValueError(f"Parameter 'item' is an unknown 'DesignUnit'.")
+			if version_info >= (3, 11):  # pragma: no cover
+				ex.add_note(f"Got type '{getFullyQualifiedName(item)}'.")
+			raise ex
+
+	@readonly
+	def Path(self) -> Path:
+		"""
+		Read-only property to access the document's path (:attr:`_path`).
+
+		:returns: The path of this document.
+		"""
+		return self._path
+
+	@readonly
+	def DesignUnits(self) -> List[DesignUnit]:
+		"""
+		Read-only property to access a list of all design units declarations found in this document (:attr:`_designUnits`).
+
+		:returns: List of all design units.
+		"""
+		return self._designUnits
+
+	@readonly
+	def Contexts(self) -> Dict[str, Context]:
+		"""
+		Read-only property to access a list of all context declarations found in this document (:attr:`_contexts`).
+
+		:returns: List of all contexts.
+		"""
+		return self._contexts
+
+	@readonly
+	def Configurations(self) -> Dict[str, Configuration]:
+		"""
+		Read-only property to access a list of all configuration declarations found in this document (:attr:`_configurations`).
+
+		:returns: List of all configurations.
+		"""
+		return self._configurations
+
+	@readonly
+	def Entities(self) -> Dict[str, Entity]:
+		"""
+		Read-only property to access a list of all entity declarations found in this document (:attr:`_entities`).
+
+		:returns: List of all entities.
+		"""
+		return self._entities
+
+	@readonly
+	def Architectures(self) -> Dict[str, Dict[str, Architecture]]:
+		"""
+		Read-only property to access a list of all architecture declarations found in this document (:attr:`_architectures`).
+
+		:returns: List of all architectures.
+		"""
+		return self._architectures
+
+	@readonly
+	def Packages(self) -> Dict[str, Package]:
+		"""
+		Read-only property to access a list of all package declarations found in this document (:attr:`_packages`).
+
+		:returns: List of all packages.
+		"""
+		return self._packages
+
+	@readonly
+	def PackageBodies(self) -> Dict[str, PackageBody]:
+		"""
+		Read-only property to access a list of all package body declarations found in this document (:attr:`_packageBodies`).
+
+		:returns: List of all package bodies.
+		"""
+		return self._packageBodies
+
+	@readonly
+	def VerificationUnits(self) -> Dict[str, VerificationUnit]:
+		"""
+		Read-only property to access a list of all verification unit declarations found in this document (:attr:`_verificationUnits`).
+
+		:returns: List of all verification units.
+		"""
+		return self._verificationUnits
+
+	@readonly
+	def VerificationProperties(self) -> Dict[str, VerificationProperty]:
+		"""
+		Read-only property to access a list of all verification properties declarations found in this document (:attr:`_verificationProperties`).
+
+		:returns: List of all verification properties.
+		"""
+		return self._verificationProperties
+
+	@readonly
+	def VerificationModes(self) -> Dict[str, VerificationMode]:
+		"""
+		Read-only property to access a list of all verification modes declarations found in this document (:attr:`_verificationModes`).
+
+		:returns: List of all verification modes.
+		"""
+		return self._verificationModes
+
+	@readonly
+	def CompileOrderVertex(self) -> Vertex[None, None, None, 'Document', None, None, None, None, None, None, None, None, None, None, None, None, None]:
+		"""
+		Read-only property to access the corresponding compile-order vertex (:attr:`_compileOrderVertex`).
+
+		The compile-order vertex references this document by its value field.
+
+		:returns: The corresponding compile-order vertex.
+		"""
+		return self._compileOrderVertex
+
+	def IterateDesignUnits(self, filter: DesignUnitKind = DesignUnitKind.All) -> Generator[DesignUnit, None, None]:
+		"""
+		Iterate all design units in the document.
+
+		A union of :class:`DesignUnitKind` values can be given to filter the returned result for suitable design units.
+
+		.. rubric:: Algorithm
+
+		* If contexts are selected in the filter:
+
+		  1. Iterate all contexts in that library.
+
+		* If packages are selected in the filter:
+
+		  1. Iterate all packages in that library.
+
+		* If package bodies are selected in the filter:
+
+		  1. Iterate all package bodies in that library.
+
+		* If entites are selected in the filter:
+
+		  1. Iterate all entites in that library.
+
+		* If architectures are selected in the filter:
+
+		  1. Iterate all architectures in that library.
+
+		* If configurations are selected in the filter:
+
+		  1. Iterate all configurations in that library.
+
+		:param filter: An enumeration with possibly multiple flags to filter the returned design units.
+		:returns:      A generator to iterate all matched design units in the document.
+
+		.. seealso::
+
+		   :meth:`pyVHDLModel.Design.IterateDesignUnits`
+		     Iterate all design units in the design.
+		   :meth:`pyVHDLModel.Library.IterateDesignUnits`
+		     Iterate all design units in the library.
+		"""
+		if DesignUnitKind.Context in filter:
+			for context in self._contexts.values():
+				yield context
+
+		if DesignUnitKind.Package in filter:
+			for package in self._packages.values():
+				yield package
+
+		if DesignUnitKind.PackageBody in filter:
+			for packageBody in self._packageBodies.values():
+				yield packageBody
+
+		if DesignUnitKind.Entity in filter:
+			for entity in self._entities.values():
+				yield entity
+
+		if DesignUnitKind.Architecture in filter:
+			for architectures in self._architectures.values():
+				for architecture in architectures.values():
+					yield architecture
+
+		if DesignUnitKind.Configuration in filter:
+			for configuration in self._configurations.values():
+				yield configuration
+
+		# for verificationProperty in self._verificationUnits.values():
+		# 	yield verificationProperty
+		# for verificationUnit in self._verificationProperties.values():
+		# 	yield entity
+		# for verificationMode in self._verificationModes.values():
+		# 	yield verificationMode
+
+	def __repr__(self) -> str:
+		"""
+		Formats a representation of the document.
+
+		**Format:** ``Document: 'path/to/file.vhdl'``
+
+		:returns: String representation of the document.
+		"""
+		return f"Document: '{self._path}'"
+
+	__str__ = __repr__
+
+ + diff --git a/typing/index.html b/typing/index.html new file mode 100644 index 000000000..3e9a2fed8 --- /dev/null +++ b/typing/index.html @@ -0,0 +1,139 @@ + + + + + + +

Mypy Type Check Coverage Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Summary from index
FileImprecisionLines
Total6.95% imprecise9743 LOC
pyVHDLModel12.39% imprecise2575 LOC
pyVHDLModel.Association0.93% imprecise108 LOC
pyVHDLModel.Base0.66% imprecise452 LOC
pyVHDLModel.Common3.12% imprecise128 LOC
pyVHDLModel.Concurrent4.22% imprecise877 LOC
pyVHDLModel.Declaration0.53% imprecise190 LOC
pyVHDLModel.DesignUnit7.04% imprecise781 LOC
pyVHDLModel.Exception0.28% imprecise359 LOC
pyVHDLModel.Expression1.78% imprecise788 LOC
pyVHDLModel.IEEE10.66% imprecise422 LOC
pyVHDLModel.Instantiation0.00% imprecise101 LOC
pyVHDLModel.Interface0.00% imprecise212 LOC
pyVHDLModel.Name3.95% imprecise228 LOC
pyVHDLModel.Namespace24.66% imprecise146 LOC
pyVHDLModel.Object2.03% imprecise246 LOC
pyVHDLModel.PSLModel0.00% imprecise73 LOC
pyVHDLModel.Predefined12.61% imprecise119 LOC
pyVHDLModel.Regions15.46% imprecise194 LOC
pyVHDLModel.STD8.70% imprecise253 LOC
pyVHDLModel.Sequential5.02% imprecise498 LOC
pyVHDLModel.Subprogram3.01% imprecise133 LOC
pyVHDLModel.Symbol6.65% imprecise481 LOC
pyVHDLModel.Type5.01% imprecise379 LOC
+ + diff --git a/typing/mypy-html.css b/typing/mypy-html.css new file mode 100644 index 000000000..ec2bdf9c9 --- /dev/null +++ b/typing/mypy-html.css @@ -0,0 +1,104 @@ +/* CSS for type check coverage reports */ + +/* + Used by both summary and file. +*/ +body { + font-family: "Helvetica Neue", sans-serif; +} + +/* + Used only by summary. +*/ + +h1 { + text-align: center; + font-size: 135%; + margin: 20px; +} + +table.summary { + border-collapse: collapse; + margin-left: 7%; + margin-right: 7%; + width: 85%; +} + +table caption { + margin: 1em; +} + +table.summary, tr.summary, th.summary, td.summary { + border: 1px solid #aaa; +} + +th.summary, td.summary { + padding: 0.4em; +} + +td.summary a { + text-decoration: none; +} + +.summary-quality-0 { + background-color: #dfd; +} + +.summary-quality-1 { + background-color: #ffa; +} + +.summary-quality-2 { + background-color: #faa; +} + +td.summary-filename, th.summary-filename { + text-align: left; +} + +td.summary-filename { + width: 50%; +} + +.summary-precision { + text-align: center; +} + +.summary-lines { + text-align: center; +} + +/* + Used only by file. +*/ + +td.table-lines { + text-align: right; + padding-right: 0.5em; +} + +td.table-code { } + +span.lineno { + text-align: right; +} + +a:link.lineno, a:visited.lineno { + color: #999; text-decoration: none; +} + +a:hover.lineno, a:active.lineno { + color: #000; text-decoration: underline; +} + +.line-empty, .line-precise { + background-color: #dfd; +} + +.line-imprecise { + background-color: #ffa; +} + +.line-any, .line-unanalyzed { + background-color: #faa; +} diff --git a/unittests/index.html b/unittests/index.html new file mode 100644 index 000000000..a78f0b30b --- /dev/null +++ b/unittests/index.html @@ -0,0 +1,776 @@ + + + + + + + Unittest Summary Report — pyVHDLModel 0.29.0 documentation + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + +
+ +
+
+
+ +
+
+
+
+ +
+

Unittest Summary Report

+

Unittest report generated with pytest.

+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Testsuite / Testcase

Testcases

Skipped

Errored

Failed

Passed

Assertions

Runtime (HH:MM:SS.sss)

✅tests

56

0

0

0

56

00:00:00.031

  ✅unit

56

0

0

0

56

00:00:00.031

    ✅Analyze

12

0

0

0

12

00:00:00.031

      ✅VHDLLibrary

12

0

0

0

12

00:00:00.031

        ✅test_Analyze

0

00:00:00.031

        ✅test_CreateHierarchyGraph

0

00:00:00.005

        ✅test_DependencyGraph

0

00:00:00.013

        ✅test_IndexArchitectures

0

00:00:00.004

        ✅test_IndexPackages

0

00:00:00.004

        ✅test_LinkArchitectures

0

00:00:00.004

        ✅test_LinkContextReferences

0

00:00:00.006

        ✅test_LinkContexts

0

00:00:00.005

        ✅test_LinkInstantiations

0

00:00:00.004

        ✅test_LinkLibraryReferences

0

00:00:00.005

        ✅test_LinkPackageBodies

0

00:00:00.004

        ✅test_LinkPackageReferences

0

00:00:00.007

    ✅Instantiate

44

0

0

0

44

00:00:00.001

      ✅Names

5

0

0

0

5

00:00:00.001

        ✅test_AllName

0

00:00:00.001

        ✅test_AttributeName

0

00:00:00.001

        ✅test_SelectedName_1

0

00:00:00.001

        ✅test_SelectedName_2

0

00:00:00.001

        ✅test_SimpleName

0

00:00:00.001

      ✅SimpleInstance

14

0

0

0

14

00:00:00.001

        ✅test_Architecture

0

00:00:00.001

        ✅test_Array

0

00:00:00.001

        ✅test_Configuration

0

00:00:00.001

        ✅test_Context

0

00:00:00.001

        ✅test_Design

0

00:00:00.001

        ✅test_Document

0

00:00:00.001

        ✅test_Entity

0

00:00:00.001

        ✅test_Integer

0

00:00:00.001

        ✅test_Library

0

00:00:00.001

        ✅test_Package

0

00:00:00.001

        ✅test_PackageBody

0

00:00:00.001

        ✅test_Real

0

00:00:00.001

        ✅test_Record

0

00:00:00.001

        ✅test_Subtype

0

00:00:00.001

      ✅Symbols

11

0

0

0

11

00:00:00.001

        ✅test_AllPackageMembersReferenceSymbol

0

00:00:00.001

        ✅test_ComponentInstantiationSymbol

0

00:00:00.001

        ✅test_ConfigurationInstantiationSymbol

0

00:00:00.001

        ✅test_ContextReferenceSymbol

0

00:00:00.001

        ✅test_EntityInstantiationSymbol

0

00:00:00.001

        ✅test_LibraryReferenceSymbol

0

00:00:00.001

        ✅test_PackageMemberReferenceSymbol

0

00:00:00.001

        ✅test_PackageReferenceSymbol

0

00:00:00.001

        ✅test_PackageSymbol

0

00:00:00.001

        ✅test_SelectedEntitySymbol

0

00:00:00.001

        ✅test_SimpleEntitySymbol

0

00:00:00.001

      ✅VHDLDocument

8

0

0

0

8

00:00:00.001

        ✅test_Architecture

0

00:00:00.001

        ✅test_Configuration

0

00:00:00.001

        ✅test_Context

0

00:00:00.001

        ✅test_DesignUnits

0

00:00:00.001

        ✅test_Documentation

0

00:00:00.001

        ✅test_Entity

0

00:00:00.001

        ✅test_Package

0

00:00:00.001

        ✅test_PackageBody

0

00:00:00.001

      ✅VHDLLibrary

6

0

0

0

6

00:00:00.001

        ✅test_AddDocument

0

00:00:00.001

        ✅test_AddLibrary

0

00:00:00.001

        ✅test_GetLibrary

0

00:00:00.001

        ✅test_IeeeLibrary

0

00:00:00.002

        ✅test_IeeeSynopsysLibrary

0

00:00:00.002

        ✅test_StdLibrary

0

00:00:00.003

+
+
+ + +
+
+ +
+
+
+
+ + + + \ No newline at end of file