From 2091ef30270a3f720aa6a411b1f36a7007c2aa61 Mon Sep 17 00:00:00 2001 From: Steinwerfer777 <46951441+Steinwerfer777@users.noreply.github.com> Date: Sun, 19 Sep 2021 11:23:18 -0230 Subject: [PATCH] Update and rename lab4decode.vhd to Lab4: Hexidecimal Decoder.vhd --- lab4decode.vhd => Lab4: Hexidecimal Decoder.vhd | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) rename lab4decode.vhd => Lab4: Hexidecimal Decoder.vhd (92%) diff --git a/lab4decode.vhd b/Lab4: Hexidecimal Decoder.vhd similarity index 92% rename from lab4decode.vhd rename to Lab4: Hexidecimal Decoder.vhd index ff1bf82..56a51cf 100644 --- a/lab4decode.vhd +++ b/Lab4: Hexidecimal Decoder.vhd @@ -1,6 +1,7 @@ library ieee; use ieee.std_logic_1164.all; +-- Segment Display Decoder for Hexidecimal Units -- entity seven_sd is port (S : in std_logic_vector (3 downto 0); HEX : out std_logic_vector (6 downto 0)); @@ -23,4 +24,4 @@ HEX(1) <= (S(3) nand S(2)) or (S(1) and not S(0)) or (S(3) and S(1)) or (not S(2 HEX(0) <= (S(2) and not S(0)) or (S(1) and not S(0)) or (S(2) and S(1)) or (S(3) and not S(0)) or (not S(3) and not S(1) and S(0)); end action; - \ No newline at end of file +