From 64ae1daca3468a481be5e1382bbce475f172aee3 Mon Sep 17 00:00:00 2001 From: SMFSW Date: Thu, 25 May 2017 13:20:30 +0200 Subject: [PATCH] v0.7: mostly Doxygen related, enjoy! --- Doxyfile | 2 +- FctERR.c | 14 ++-- FctERR.h | 12 ++-- GPIO_ex.c | 2 +- GPIO_ex.h | 8 ++- HARMcksL_v0_6.pdf => HARMcksL_v0_7.pdf | Bin 430495 -> 447019 bytes PWM.c | 15 +++-- PWM.h | 8 ++- exceptions.c | 3 +- exceptions.h | 67 +++++++++++++------ stdream_rdir.c | 88 +++++++++++++++++-------- stdream_rdir.h | 34 +++++++--- 12 files changed, 172 insertions(+), 81 deletions(-) rename HARMcksL_v0_6.pdf => HARMcksL_v0_7.pdf (52%) diff --git a/Doxyfile b/Doxyfile index abcb7cb..1e226c7 100755 --- a/Doxyfile +++ b/Doxyfile @@ -38,7 +38,7 @@ PROJECT_NAME = "HARMcksL: ARM HAL toolbox (yet STM32 oriented)" # could be handy for archiving the generated documentation or if some version # control system is used. -PROJECT_NUMBER = 0.6 +PROJECT_NUMBER = 0.7 # Using the PROJECT_BRIEF tag one can provide an optional one line description # for a project that appears at the top of each page and should give viewer a diff --git a/FctERR.c b/FctERR.c index 327900a..82e8274 100755 --- a/FctERR.c +++ b/FctERR.c @@ -1,6 +1,6 @@ /*!\file FctERR.c ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW ** \brief errors to SMFSW FctERR code @@ -11,11 +11,11 @@ #include "FctERR.h" -FctERR HALERRtoFCTERR(HAL_StatusTypeDef st) +FctERR HALERRtoFCTERR(HAL_StatusTypeDef status) { - if (st == HAL_OK) return ERR_OK; - else if (st == HAL_ERROR) return ERR_FAULT; - else if (st == HAL_BUSY) return ERR_BUSY; - else if (st == HAL_TIMEOUT) return ERR_TIMEOUT; - else return ERR_FAULT; + if (status == HAL_OK) return ERR_OK; + else if (status == HAL_ERROR) return ERR_FAULT; + else if (status == HAL_BUSY) return ERR_BUSY; + else if (status == HAL_TIMEOUT) return ERR_TIMEOUT; + else return ERR_FAULT; } diff --git a/FctERR.h b/FctERR.h index 8ddf783..5f7e096 100755 --- a/FctERR.h +++ b/FctERR.h @@ -1,6 +1,6 @@ /*!\file FctERR.h ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW ** \brief errors to SMFSW FctERR declarations @@ -17,10 +17,10 @@ // ***************************************************************************** // Section: Types // ***************************************************************************** -/*!\enum EnumFctERR -** \brief Enum of high level functions return state +/*!\enum FctERR +** \brief Enum of low/mid level functions return state **/ -typedef enum PACK__ EnumFctERR{ +typedef enum PACK__ FctERR{ ERR_OK = 0U, //!< OK ERR_SPEED = 1U, //!< This device does not work in the active speed mode. ERR_RANGE = 2U, //!< Parameter out of range. @@ -62,10 +62,10 @@ typedef enum PACK__ EnumFctERR{ // Section: Interface Routines // ***************************************************************************** /*!\brief Convert HAL_StatusTypeDef to FctERR -** \param[in] st - HAL_StatusTypeDef status +** \param[in] status - HAL_StatusTypeDef status ** \return FctERR status **/ -FctERR HALERRtoFCTERR(HAL_StatusTypeDef st); +FctERR HALERRtoFCTERR(HAL_StatusTypeDef status); /****************************************************************/ diff --git a/GPIO_ex.c b/GPIO_ex.c index 2824236..cd01904 100755 --- a/GPIO_ex.c +++ b/GPIO_ex.c @@ -1,6 +1,6 @@ /*!\file GPIO_ex.c ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW ** \brief Simple extension for GPIOs diff --git a/GPIO_ex.h b/GPIO_ex.h index 3251840..f775f44 100755 --- a/GPIO_ex.h +++ b/GPIO_ex.h @@ -1,6 +1,6 @@ /*!\file GPIO_ex.h ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW ** \brief Simple extension for GPIOs @@ -61,18 +61,21 @@ typedef struct GPIO_in { **/ void GPIO_in_init(GPIO_in * in, GPIO_TypeDef* GPIOx, uint16_t GPIO_Pin, uint16_t filter); + /*!\brief Handles GPIO_in read and treatment ** \param[in,out] in - input instance to handle ** \return Nothing **/ void GPIO_in_handler(GPIO_in * in); + /*!\brief Get GPIO_in input value ** \param[in] in - input instance ** \return Input value **/ __INLINE bool INLINE__ get_GPIO_in(GPIO_in * in) { return in->in; } + /*!\brief Get GPIO_in input edge ** \param[in] in - input instance ** \return Input edge @@ -80,7 +83,6 @@ __INLINE bool INLINE__ get_GPIO_in(GPIO_in * in) { return in->in; } __INLINE bool INLINE__ get_GPIO_in_edge(GPIO_in * in) { return in->edge; } - /*!\brief Get name from Port, Pin ** \param[in,out] name - pointer to string for name ** \param[in] GPIOx - port to write to @@ -115,6 +117,7 @@ __INLINE void INLINE__ write_GPIO(GPIO_TypeDef* GPIOx, uint16_t GPIO_Pin, eActOu } } + /*!\brief Read GPIO ** \param[in] GPIOx - port to read from ** \param[in] GPIO_Pin - pin to read from @@ -136,6 +139,7 @@ __INLINE GPIO_PinState INLINE__ read_GPIO(GPIO_TypeDef* GPIOx, uint16_t GPIO_Pin #endif } + /****************************************************************/ #endif /* __GPIO_EX_H */ /****************************************************************/ diff --git a/HARMcksL_v0_6.pdf b/HARMcksL_v0_7.pdf similarity index 52% rename from HARMcksL_v0_6.pdf rename to HARMcksL_v0_7.pdf index 11e664711d26ae75a0eec4dca0388c26905aa4a1..02102331a82f26366c5a573f0ee959119192ef35 100755 GIT binary patch delta 197369 zcma&OcOX{p|3B`&?Y%eIWyQVk+uqqiiOAkr$x7n330XI8o2-h2P?=HoOrkQ16tcG> z`W@73f4;x>Kk1xvopY{p9q0MD9^;Z%$Wd0rS?Mbbg5msxUtmSwdoFpq&^jf!ur5%u zX)Nwwo_&5~$Lo=B;SP8DkB?_A@41Fj(z%N6&0V>7b=^*&vOVp+iZtO{dh_w%r*Tjw z?@;4f^QNVQvE;qI_h)OX_)3)pU5#bPXCSxy!hDN@h#QmcIX9=OgQ9BuE`7Q-64|uZ zcaVO*IB7fJCJXBe#i`hq{s<@n$rjHh9HT8V*kr{KNRvnywaoMC%Me1ckrm6KQ?n-5{IY< zx4he9GvSfAPb#<-k00{fxNc&Z!kpZ7SLxEf+8PrmEoiTa{rqwzL5y+^=QKJBhtAPe322mlYhw| zDeha{V#I@3dfRgz<><*`^n%H$WW$BQt3efM#jmV6R?Gv&mKbsxi?TEdbKMhvpoUHE z5$vdQC=`)B=5Q8O8FeYz@Tu;(Dj64}pPB!Gn3J)OuDptHRSkiy&;9+b6zVHe#vxu5aOj^&2ED_cQAitQM)YQl!0`n4#|@nhUo$9X4fI} zpN#IcBz{+Hb@s^C#||$Zu-p4hh1Yt*9=77x*t5O8S|X>z*|WKXZ~W9&zceFw4WhI& zUY+S2wW>~G|0a5(I>*6>5~Ia+hpc=7HXcdc?6ST8s;(2KMDpzVYs2MBG~22=I6&DOo4+HYqtF5x!mJbO`UfVLLxg% zisc~T$~L@)oF>T>-*&4R&*-YiFi^av^MCBp@N}T=%-on9*%c7cPR`(kxtUYbii+$+ zL^_fnb$uexl%aB@RC>qMoAwWFb1x2Hl$(tUr;4gJAYQ9Y)nEDYFDiC~$2;K{l^n5t z3qL$7PpJ?{7Q(v7Nron*3)AsI1t}ToW zewH;m@kzm4@D@TUgW$4B{Vv}1)9G|eD`#Kd*KmKmz~}2;(0-*@&4eaH?yUle-kDGc z>rKWESH_2kC1&{p(z{T#H|;NMhKRYaI=6VV1bfpq@;lJ4EZaa{y9u<-d148?yQeIS z=~ji{M%#LCiZcu{v;;vpLQ|>MP7~6ZMXuiGf0N#sHZ7U}lY2Sw z*_XQ;THsAIL9pTh=906K**ZIVfp)(u@R?E7?9OnEvM{^SA-e0V^4sL5teb3gSdNjt znrXu(&aM4uDTdYDTJMJ;go#22SFKb`++C*DD+Ji69|kHnn%7v!(JNJGy<5vpNWG@r z;_s0(t1HBXv=_5OXP2pIUx>`TcU$3VPlsx>bteBg-S-UF-kV)$yDy@WDCCr1qERN| zPwyz2o#*J8?5|_l9K~%a+$m5^eTcPtr)C~+_*iJFW;azm-f#of<^F8L>a#9bkVm__ zvYMjZ)$U0vc1o92pin5g+CVFp9J6{>h{NUii#_4E$5|ZnAIZKuzrJw!nc^x(Uz&Tm z!Y);Mp@c`6Yv;2pIvU~;m=a>Ft)S`C@Y(gE6vYlrw|7H5Rl|ag4iU~hYhWwvSvj_9 zSkQscWJqnDgC3mhZU@Jfiwm7!&~5O&p z<$>mfs~6TqAIh}XE~}m{?V*P7lqNOa7xbcMUY=Gfec*I<5K;@yDvIdo31vCgqxV55 zqWmW7r2rRg-jy!TLuY3EogIfW*d~+b7?K}PAgPgNul$SER_iuG0wmS`Ey)n9cut@pm6 z>OGZXb*XI;_KDBVTmR2-ih)ktHc4+!2N49GdX;wjJblU)6N>v6?X3;+7$jtwZFAqe zFuVDL)|f=YxOnpHA#vwgK5g}q>OE=@tUAST1q?=@jy_aexe+ziB6>QD0U`_;ey2oH zwA@Bg-^CQd4}C%)2ZJHqeg;XXN%>we^SR1e&ER%>F4xPlQP3%bte)dQipN~1?i_u5 z>L;heXhdt0p++Y(?P8&S5D{>I8y$Nv;bYh)J{3=}OWBzUA zHPiVL&l_StJ8jL1xm{`Nd+EqIZ28*8EH@(7dBjxjPJuTyumy?GzSIdZXaCTmq8=Kj zUY&&}Oqw_hJc{WDu)4DVBBjYJ<%X!sVUzc!}Hx-}j zE%s4$&EL<)bCH{lVY!GSn=fr(2P__@{<_dFzMU)$@sEMs_oVfZ?B80uDH*!#mn!5H0%2o z7kMCi=LpW;?J8^6FBa|wm+1A1R!z$@5o~KeQ+J$hetg+BdEzMxP(}ec%~IeGg+CGp!!W8kBJ_qFB^;zSZ(E zt||L|eoOJi)-X;F5*oF+{ZWq7O)|eWT!_4cD7I7{28A?m0U1M zw2~OT#(sN7gC-V&bG42%O7CZ#do|RWbKyn8jDF_EJz|K*kB*dWXV3h5rCkd>*`l^2 z++{ovLre$DL4BCKqt004*$t1Qh%?U=#Dv?x!>Fm|+3OEOXMkUU<@sKLiiI`&pqPzPBLQ6>?(A8uXqM+Y<5J(9qvRc7%l!y?G#8k7|VL*fk zIHuab9z=>CG6gddqNI*10Jb<)_rf@YE^_sdS$d3=GEjSVlqUQ|%>{}&(93XDO*tIq z!HkYI+hKrl+R{4^IK!f#wqP>w!-y8YQzV&mY%@(^A?R(_l#WPzP4X;(s%}ehwCk3Cchoyh* zf^dj+CtYG%uYCx>>LZh8KikVoFk!wa=u-jqCKbwUrM&u|CM_W<-0HQOowaF7R*z`M%SVqNOWke$Vb+)s5fRp1&iP zGC8#hf3)#Q1>sM|!IaZqM%YU7z43AJnbM62U1ecS7ME|u9`wqwcG&FgnZ_kY&hw53 zxotYq!_+jmT?R-9ynwz&q$K;vy=u9D>6}^Q@iehWtLrmg+8>iDVG}m4MDpw|QDS?XbEx z=FiT3v%CC`aQ;+U?{^v%#_4SdSOhg~{9FCDSJg8g3vF1I#=Z|X?3$9$!j|mPicZU` z#>nMhVscp)OXS~NZ(e>mHm&eYZ3?@u^-ksE2SgxfctZWXl#_gw=gW`8 zGmrh>Gdq~x9_W=I({PQiKkG^!2wPXAy&cfm82DHRbDJm6;gMCw~3)B2{`PyUjjb#AP64h0cIotcEXTQ9JjXs z$A9z`CM6|-f}nAx-U2`$anrtx#8MJSI12a1Tj0N*9g2ZTU{0Rh%7^~HS{yZiL2>jx zj35lIRg@W@9{{4pE2e{}(`dlNfNYTTi3vw_gOW`091_M0!${$+-9VzaeqS1L9ea02 zZzo

X?ZGCCF>+j}j!gS1FifHXfd$lT~!bLlDQu+X6q#x1#v5-<5X7RcqK#Z&ah9 zA}`R`!WN;=9J| zJho!>Q|CfoU3?A?&5$}Xn2uHPWmI4 zYZXM_;%u2ZA$x8x6Hz5^WE2QQF|kSB@vh0A?Zb0fL4V!1T{|S%{Nzb(MTV_ij$k61 zJ1wWXwfQZy23hYYChzXM6@LhR0u=>;>2Jri%+XrP=Jiw#Aj|BN!<6PPdS8qm#nSU# z6CF#9z9iHD@44?kb6{xxAj5i;hP$ASh-IVX_IWlq+^>@9cLum94B`aLzY0 zJo%P+{O0WqGQXd1nVroqQw_SEEqq=(8q@f3L@7D#s=cT%Zi#>I)$2STNy}J%Iq*;X zIHnJu_1fy^mat#v*IE9ZuE&m|4OG|<6piP98riq!O<~)|{Msn(mG8CN;T|+{;Wd-8 z$}>7E%@E6Gf-cpj_?y~`Zl^~J+=}I&-1ACZjAvYm*GAS#m+`x(UNn=|jTQCD`s`I* zt{{?G8q_JEO4Oy#ufW@q(H>Ng;#bhOb)|oq?cmYoIr+I4CihC;E?aq~*yP^Ro2|$# z53lm-@YqDtDHfBJJ|dDO7$B6}_X*1aU8wWAHak-IZZd1z$UtRfZp7TvXgAHJ1HFF` zyz^%P_~Q$MA#l?vd`vLp(RcN?G62JSG9H%!1~NG8s0JAF;`)6!aB|x6`2GtZQYsWi z0xgB$g(85DA5VLQ@D%>qB#0K*97m7S^rHg55Q^ZkeHeIQ zM<4h?B7;2e{f$0wXcE+U#YkY#I65CDT%;eJ@qgS1E`^amppYjw`fJsJ|Fh!#*U1>@@2^?pXzc!4 zApfH|30yJP5@^2y0R0nz%v zP=hl}XvFDq{-TK>ykz)>fxGMT%(wmxwMICky}1y&*H|N(NjoES7`qa_MO!%6J8!N! z&91aHRMnPwA;qI`ku#Ly#x4bYpKgr2cbeJp{KUlLmC=^ED%d16V@vxdTJb!+{X2b8 z+A6V_+XVu?T4d}k)#PjEiRPOa9~K*YkWqpUKsYs95zn5G7q2VSP5MU#Wbay^B5bbO zy&Yd(ZRDQt$z0q7ds9)4$clope~-W`01sh!T9&(6@(6u!)eSAPxN|VuT)rXUu52II zv>zK`*A;AxYAGS1>?+>eQ@Q*l>q%vkP%&wmVgt&S9GklaOs5?n@6eAPUb-PSt?85v zlV&@FO_Qg?)61Axm^dHkwe&Ns?e)ng*9VQ7!x@&o6dg2UMY8*!Jbff=j`7r6pZ?xE z{mk)krHmP~eo<7=b1mW9IX}C77ZrV9XkKXuvORE6eK(Z%)B9GQ-k}n07>DpoIy*_d zfk~@E*R*-~aEiv{WiIgsgi_$_gb+x41?lWXOYrkcZE{zP}u2 z>>~Pr(c!@h=@IPoBVtkycAUE7(6){i^YioRaf^@1R%LabQr!6HeJS^2AnuC=0d{bF zdF9O=^bKu6=ibt3@w@A8IIg^U5}y*$W{}vPD%emFtjfO3XqE5wk`ELjMNPhx|3=?9 zn*L4NTO->}^FS=artL!R9T3~B&H*b`Q{R;;4Z$m~e1|8n&3&a{c`1bNQ~xLLCv>f) zZCCbRUAmOeleCUn^f1~LZ73TL=JVjbCGfIij%92haXNgd89qIjQ)bRPR!wF1vm#o4 zHG$OID~$ZsU|maF+}Z1{$zJ1jujpf6g)PhbeL16z@m4)_<6gI=KE$wfYLToxKdsZ| zR!t(IFtbTIf~9Z9=u6UP_KqyJk67mM1hHJHWV$DfSX5vH%|vW&wja8hd`Hj?1^Cm# z`;4dco%Q==U6zbV9K#np1g3Xy&XM1^_T}p2Epm!-tMwdf|wYauTT_d?H-}OlIN(omr%$}ir`Ec^DL|`})4+VjR@rQa~>gqG$rXVWh(MJ&f}2>XZ*3}(;B-Qn z@GUjK9Z3^t@VZwC74SblfJj;37>EQMispr)AQDgnj2A8i0l)^IbRNWlv;07X*A*cV z!Uf%-0mBhEdJV1PlbGR1xC9CfOr2l=qyI)A#P6~CJ?Do1`(zYcN& z5Kx2!QVMUt1Y*LiCen%h`$|VsT^R5?b$qG60wJzNQ5H|v4&uO_a;3-fT7zhb0LXzI z&r5+}%DAii!!1o4J0-U7m~ZwlwmZdqP~8HJl((OIrv^cr)=*Id%la@eyCoUyQ;OO|d!g_>ey-~s zCJ^_H%T1yi*MwEMCYY-oY&WIdvLF+^n~KA9vOOBy{zVh}jgq~Ii}}Kqr(VmNjc?ee zJ$gIr9a&z1h4)W&2CQJ!ZO=yEy=~1^NU{qy@cHnZxlEkv+`wktTVqF+=V$lm(qWlY zQul6;4yaZ4xQJL>U4n3M`XKPhDcymON;$aXTb1L;bIn&R>|l2dnEaX=eBwGjv2F1g z2WyC1F~@YgkhC=mHRDzkWX^Z95$;#%COC6{!D={kMfYos(^cbaEYhA_#4|OjrtgX~ z*HxCH{xMGRn|t!r<0`W^*=6n$gQ*r-PUR{n-wmzNK|gttD0Av!)6$hsu5APsL8;YT z_2+t)r1p9C70I=T-HY&(I_r1vQ&n zXK76hlwT+q9=07Ro~hvV{a73MdqqR#1lKVgY=Sgm-|MX zFQFu0)06TM`>cGIb594!y^-9VZ_3gy?e(SczPa_)busXgdlM9Emg;+{!tc@OMRTiY zy}C6>APFD#s?64#!mOVK`uiY{-VxWY*&f;rx*@d9qwAz%Ej&ziqi;Xu=I7|Uq#D=i zR*R_*YVt>((rurbiP)*or_isyl`8oGNBfF!I$>R=q1Hcg#+uu+Qhe?6&6hEtPQ6Dt z&PH{sjqAO^3V0$$EMi=LVT$mg>HFuxI~ZSFf&HAQe0j$`q`WlBbvCfL7GCaVI@0VG z1!uhj?~~4CQ}w32cy0OMLV;W86-%wxnidQ8ml3hWWp=(XB@WZ2!>>y!+&*|{a87Xi z*!k|dSkhO<>G#UEp~i#HYWxA~2D%^W)qwutCv0r;V=BTm53BXCKTBi{v;Sb`hrC9j zHsii9kE^qsQ5g-#$Kd_JR2Du*rQ6uAqqe>pK{7?0vb%39@+2kp=YLG*5mFQ}6F`_~ z#Oa4F8)+>bf8J7lM=>Y#_NOID2l*kjL z#QYN}QO9`rSENLqAf)+U>l_k^gBBR!8dDAMN(UfD9B&#e7zKc0nl=c7A36Fn(w9I|M_`HhU%(QW*&m_!U%(P2g@e{G9U-L%o~|53 zUCp~81>6S>!G&Zo;r249Y6C$^B!GX2uXF=z;W!&<@KpMQ3S4jit)RRB>5(`>dISs# zAOVUOi9i981zt(@7$g;NI!ypN9pjWq<8Pc213>a0Scd#JBA^ja2`K8Au5>gp{1>jl z08oLVaaWrKS^s@H3JORicxz5VM!eK3Vwz(DheYG)w?K>lY>!#PN4XMWT;H z7A2Sj3Xb|W6ahT#pUsa3^KbGK6b;bBBMF8H*Qy9PB1*()1TYK0t-G+`&%1#r@Lbj) z24Vo^kwEVNZ3PTRpUhUEQ2gB;kSMm?Slep`Mb*4-d>`%X(7z^Ruuz3N{q>Zz+d{^v z*E;8toXi+6Z!AnW7NiW7_pe`<0w zCK(eWn<^-1*JJkiLyjalAz4}vVl*C$X~w~on!)Y>EZ$5gT{(DC%>My>hri`AJ$dK5_%J~ zEW-kO$DxTd{nia*yK68nl0-Lv{isIaOPSpD>NX@Xd_tRm;S{?D({yrx)+dxTpGfK3 z0nj}%p}|WcyNxZvVH#E!a@_C^pnHeIE+cWBs-^Q+CT}T#(-Q?L+Ar=-bSyoQ5RC)D z=dX@8zq5~Y&PCU|S#ov@354blzFK@mK%cUi5b^daOz)Pef%0veDz&xUdh9UH5ne;O z=A}olB^65^v7c3lcE(u}^KB0|FP(CKEh_1=nOJQ99m6kqe{I}n5?{A$?Bghtz+tvB zuelXI z6=@6Oq+SmrjOOXP$t2OM!GNvrbqFM6uae;~RU7e@?!O1oyX83*U8i!v(CG?lUxtqB z@nxfnWfy*cz6UpOVIMrL?^&0f5ZHN(bl7v`5~iani9GC(U@mvVH#Ly_xO_(V`9~7| zt>-NSdaNT1N{=SLzIj6x;EVgzGeDDVmg*(esDZH_@RRm?{CYqlRoYDki+-xo@8iMx zm`{g^zdl00a+6VETx4y#O>@Xv^l^sO* zQ&OfiPfUD*J=eZTM-}%w_8oO!686_`_}RY)8WqG^aSKOIK55?*eDIO^vSp^JP{||a zM-+Fp%Du!an$GXW1y$}@Gi|X9zRZcAV|O9R^$UN^P5t76|A(qLVjhiXo#+elVNnU+ zqOUP`%Y7tN(Mc&>cw7HkcZ|Iyu{GabX8id>YW1=5%AoJ{(uj3Z<{vFG|G_KKf8~|v zf1@S(1T7W+iI$k7nha3TKY1lUiH@o)9H^s5KmUfBXgGkH#b68)_pX4K5RQQz^GeJK zue?*}0|Hpx`OXkr#&c@iWG4cbfAAG(^}lmV%)huL<`=ib;5@s9@gF+Dl-1|%NrPB1 zzv&s^P5hy3Vo=qdn>r*%9m7|-fi-bIN@(!h=Li)zPtX$uSP^KcKfn_OsDTg^jt_Z6 zFcrXP1TF-k$&CQa1vH?30vry2SQsw?1&H_n8wWV41Pa&s@eH0DM8b#D{Yb+ITaUpm%c4Lhi-=)sjgAe9rhQ|l*Rg?>iFVqLWpYkshNtwU=!JSXm#Exn z$%Uez5X4V;F>SDlW){~?rPxai`#Z}~|BrwB+oU#3-i&VnfATlrze zBML7hE&nHUIsE$UrW-cLqo?HX21|uZh=sbu9ilX-+LexA>5(OQe~hkLTRp2>)deY; z04Up8TkjEqw|*6sOH|6NB1;k1h4P8Cb7L&1Z>aLtSd;Gwl8NxO8H0)sSFej!M?!(QiGK7iXE}{@P zQCD08sB&h?4db`Jd!Mch&yPylL$T`y#dqL;dT)P^6C-H%B)a4JYW-)}%JmzYm%P=P zWfR;#-$418KDbFzj$QllM8t4!u(=N06L_W3HXh?pr7BLJZg?|YK%=WaiP(W{_Zn0FX*?^{z%xX?7I?KS&GZxasdo%#UACi&>1Na7WgWFV7iGSMrfBCW>&iVZ2a~$oPUJv6WepOW)a0di=}(cezgL*fA0OXH}ItDZqc3_M?ya23}u;gcoO40U<;{ zpt$%7UNBq=2T9WcHsMFCgRxqratVkb00;Fuu{ico8a#DBF&BQ71O?RC zg7+K*vFiR0`~v|wDer%F1OYiJ>%VoaUtcf+0`zY5Oto8$7Kj?y_|*aqMdI?`a8*y$ z%97yBx~cJvZeV#_^fV2=pAjsBTOFds`L~G!y+z^fxAEe0!@yWzDC9jdTeDM3yg3C z{yZ~?<(NDHgkzc<%!m(u0Y(D7R02bxIN0laF!a|L&%IUz9qj{p21uiLP(QKo(Fn8Q z69+)%xT#_c4m+X?f*z0Z>HnpZK%~=ujvFrlB_t(80HG4-s5XcS`g<5*XcUfo?qnDl zrH&Bp_wXOdcT&fmnK)eP2oe5%0NC+&wu6QOQYBz}-D?&ANgY{UOhgC?2n2874=`A| zX}Xi0j3fvE=3r8|^=U@aS69Exd_mvq?4}odJk$U*W#N1;X z#T2+(!TXw({Ju#tzlgKPIKxvhx_q~|6+Ut*wGy|xk3YXQeEMVGJSN5Mf%~$cxwO@J ze&@Xp0RsmqWB_hhBm`x}S=@O^){aSnsp6z!$l{At4U(;t1P~c_T@q|(NmJ-PiR4kN zuIiL8Hj1Ked?gi+Y4WrXZrH=-&&o%*n5JfIc%1Q|pM$dSlem@NZ{v!WI9)g>K;cL< zlyfemFtNZDS>G(=MDn~zjVMYp?(nL|BRW<-7TIv0kVofM)!Xj!rju7A5@;^mBES`; zYh0zS(}BCST+A9RC>P$ng>=|wxZh^LbvKwEV{_>RwQa$!r8hSjUlS`F>!U&@mbyP= z^@fskMx1lO&EAajP0C+GG*%ZLWsP zoZ7v%dMEPCxJ+N1`z7C`;s`0GwwH2pgr`Ew!I8w|STg!*huU2C1;i%%x;%I2Po}Lo z-bv+&2N-Y@t8SP+#S0=FmhBYX!z#*R&kGK2^0JuZc)D-xj&%9om-c_SdDiNa+Vl~t zSmW?MUA!+!wuA4uXe^uDe44<2*@VD_>`a%?t18dzOZMeY8m`K3wRH1!V2M;b4COdE zN$=?zJbgg29dq;M)hk#(%o<6b9Iczb6x!%6?7c{%(bdA9$VKxJ3@7&SIh8;k&ai%? zX_~t~eFFU*UP#tFsu38gbQK*x&u4nbRdD_h@AzTNgT>0ZQoXx597f`ilc$Hj8?Z85 zvkAwwZ7+KHINo<*8EvUArHCr`rb{a|5nHAkym+Z-Y->3!Dv0o#1WTbYc$22HLVm{L zf}~iWmJpG;=ggUF+8}uGu zt8mOrT#f8m79MTCC4>CzZU;SMH2RR2ez;;Izv6A1KwNb4>X#9TYOJpp$vnps-c~w6 zeN`vcQLy&(yKpIo+?Py@U4bHbRFXRn#dO0AGPma16Pm-R#5lHlpZ2h+Ft`$|Oyo)V zHmB=Zn>ugTJ&qM2a5orVXc!;o*I`fhx#V%x#plTQfYdAem{4Q3Ov+^U3`J7_lYerwD4Mj78L`=A;)vc>vvcJ@BPPOk75-5LD zY5NK1BBPO%-*Dzqd}VuX<`lVDlR@5D>da^9&l+#~5!|uEB%eQ{%7s%rFF>@Bl#Go^ zl&F3h-ng&8c->LTN$kq5vZpL24{5w)cDL-=fkE1fMI(N}Hv?=}6*;h5V)?_~^D@K; zHjYTz?>a+)c1h_OWMhGeQ?Iz|+1F}CQzJ84shySy{5;;y8Od0Gkkk>_yeNfO=Xu!5 zSBbl~bVK^LP4)+WK|qf=1TO-xl8&ko0(y+az|W&n2yDZS<4?Tic%vR-9$c`x6gLde z(13|I8Zd%jK-Gpp;6O4E&Wi@>BB0h8K$rn~Adh=8PlL;GROI^A7zzOzqj;fc6c7pk zPKH7LY)p&i0+H|o6oDG>+>(k2bxxco)Da5-rsBUHE)-Cw5y;=p6YbIDfFE$UsPR*r zAf2>n5E(NH3I)!<{9$jQjwbDR&%1<2{uV86?xQ3=hYO^8a(N^`Afy0F^4r)#N&(R< zBrXJcL~m$Q?*kX#i>JmfFoNXpwoIVY_-1YpD}Is*Bt(ir11SVF23Ir3k6&d1F_IvW zz+)ls)_#C|hrv%Vf%u7GQYZ<;apuZwh#J4h1Y#vQia5Z5h{HT1uKD}PKH{T51L{}^ z1Vl~f@u?&yF(|wb3rGcD!2{yNIRiQ!9C#I&6HN{Q#~Vb07>@utsU6VdzL;G0m_gA6 z0m$%Jl0hHZD6(DEUuBZ-`~*4{g@D+_-i~;eY^*-~W1mK?$L0N4_F2<>6RUo&c#lYU zp7JYh{`p%QFTJnd>OjVL7BHy~n-!7Arz%TpRu*yS!o9im89wMJ@F@{Fh=;^ZE+i;e z>YSG>G4}PiE}e5LL;oE=HaaMkuWFX*>?jV1FTmdIhm*}}#+|Cixg5tA*eYI)eBKPd z(Lm<#nr8XDhrO&#aY4H9!V+j^={ckzJh3G_g#;_nSJK^UvjaW*IQ4<_g#hkO$7H@c zjJo%kHTByb4(h#&>YJF2AQ!N=U+(>$(?yd!13&AlDong{mB|1b!zhx&J2OCiF@!_t zCYutAk0Xg^bWIzy&?+U^(r@dneiE;F?d*Pt0AUo96X<@nO12fXlONu(y2fHR@+Ehu zNboq{mG}EHo0bD-=A{d$t|(kx=m@DSZT1SIeUYL4J^pdJEpyq2dp3((ZyCXiYcF~3 zpHn5%v0b+zZy&ONV54T8xLl;^b{_TyjPduh-V0X|wCcatZt&q-Hs(O~6L^Bo^oOH< z{+bo@?T_SPf+jbZWXTpwQI=d(%B_8Ota9Y1;vPGIIHks&#$ueoVXNBZg=3u^%v>dCwZS#-_$tBpR zT4F~!+4Lhld`E`0vL4O8(^DhKUfkfs(x`^z9jX08+r0|=rbyYJy?0*+($%c08JZvo6(5*K|-)H$mjQ=)NqL?m?1_;@SEa2 z-R8uksY89FJ=CuDX4#6;O~d=+S{rv)x>(a0HmW5fb-%`b8kN9jUnWVdkVhnw-zVQX zOTb+cDO9?4^JA(-1%AE914&}3E_l~tRp;>Fy<=10D^eruFyA2gN8d9t5!$2)AYWTz+R>5EIS4sz9avf zaJI|NB$kxeO|o{Qz+ES^DVt06lrH(8%HGApv!AI-FI(?#h*YYSsX~uNB>k^i-=;0wvA*Ls z7TMkSu*TC&3^#hmGN2~2chpUM4>80tL|qhW_EA9Cm)u5jmdALalbv+(9P}5a@q?N0 zdTt;}oS3T+E8>_z{vL>5OcrpTlvKf@*nVT}Bcub|r%E*WefDONZIhw_Us^RZ^(z$) zqQ`3E%C6mIoh666+|4$Y~S7?CZPHJNbDr{Dq*>mX#r}0?E|%%q}faYUK_)ecvXPoJ{vw28I;! zGS{%f^jjH;*Y4n1;LZ(CZsU6;uIQ0Jtl2nIk34uanj$!RTJ}W9*!MxGel}`(L z?xNGfq&}pB=@?yL&XlaNPTh9lBeR%>7i}XIW_KQOlD)$lD>I{YnsUA--8IG*3bVI| zbMwZ0r_@mhiE5qv()S(vZYv=#rhX!QXGq$`LVW25j{2hao;oDJ)0SqafSd@Zj^?^6RX+YE{8mIwI|LROy_h^>a&APr=6Uv+-2Q^>n$N-d}I( z-=KZ^TH1f@b>Yl9msqOW*Bcp(*mt29h)dYR%E+kh;3h$*Tga~^65KA8w<4~*{EUkB zMh;qqrv+7$IBsnfNXN#T+9owdYi_8TxwgU`u`ifE+I`K9<@&;I3VBzOjmyn05iOkO zb&F3lp#2Oh4^L8J1EDQSzaPEQ1hHEDO4oI+AEePF&SFRp*F?D*`AVdGMX+|$<&#jN zXnVxeqtZcV#`$DIZ~3c+T*N?uFD|mpVhIot=pL?f?tu~1?%iLemlk=IoqWqRV8OG- zg&;4po8I>lN$}ewaHStiJ%cgJZHBh+M zH)Z4poPpRRIQ`_m97R32c(rP$$FKZ-tIJq~L0bYhL6A5P4$7QBwoGJdG`U?O-06NR zw(CgTVhVAVbp76>Ay3wWi_Yiv^vKr)U8}o?PE5N0W{l^Rb z7pg!skboj6=!e!bk?3tn~B8qfF-|R(Qy=z%ROVM4~B2E$< ze~D25wCRX302cvV4|^bV_$x{w4TKJV3u$mD4FCKT=qPolOaew=jx8ZV1OhOv3Jh@; zdl$e+4B%ts5h8#D#c@~T3%vmG71#^(70;mzV)`BVFu|QF0fbi+K4cNh3XIeVzWzT_ zk_hB6+r%#`gV^ztkHLU0h=LvU6b9H=QXm#!>lZry#lHglCrd^^ZIuCf3n-@AT3o*n z7>)!u6%bkcjlh7vCGiI_#g7Ytj_szScMKpeK9J{+ZTva_rubPUFbiIj1;lWa00q+X zlz^j!kb)h98(y3h#Br3NN1Pm^0>B;vy!vC{!|QW{PO<-&VhH5_rKIpt+#o@ge;1|ncagv)m_Edu&6&na!$JuE`m`9ba z5dVDBK#Z-fOFA=K%zKfmZu8tKs1*8*?_q8*J^PR}w{gNNN3S3)Cg#X zlO{nTh$`mVb|@X2_{eLnh&6@rYcK+Zq(NRK3sr@rZuWuq(yJJ3kc+aFug z-+a^eFpsnPFeNKKK1XM)>1oLvRqhg?aHcB4eS}(~{A`$i813W@33c~|QzjM@s`K*S zNZ;heM@q2FT7P+}Yvf9TC?{4N?d=*V9L@|dz(%y+b-O!no?>U@*VNxDav;gvViz5% znb#=cigj9CxdRPf^C-Q!oB%g{`+6=U@28cSOfdI??{jvNTjEi}kNBdW`Cz{XF-Bgh z%74cG{$1R-aa8?$S;^s7{wds8;6pxTMgcxu_FY!Q=k~YJb{Uaeo??2LL02cxRDD73 z?dP$jA>!Yk%Ce&c3-5hy8%bAYndr!J2$ zZzmzl^06M2zy|qtiV!<$g;|e3(H++n^4VSdu`>9I>O;q3ZOu=Akn*~na^ox?LcR}i z<>tz>wP1Y)cMy363TZym7ai)I^?7CRHJF$7m*H?Z9)H?Zp%M&Tq7|n%bBD zWG8qL4bbtzL!jpULXE}!VD5%`;W^&F~Cx+li(jU3yA*ge>DL@dVgdR{yKm_ zoZ|lx+B+HuK!OB9d-xxhK!2==#GL$XqVh}KhU1EKO^aeBU23Hzv11L#{}V1W~tJsO}* z!V%t?Hk!zul6iinbakjuc=$}Cl(fuCeD+;stmx#*`>`;|g0dwdEO~3y z8?FKq)|9M~DPhVLLW)?TAvfXM$a}(;M6AX{y3^z2{OR||_ngnNYG_eY}t(hf^Mv(us3;n3Lbvz5srn&(e5{JtgU;bM63bqf9tHG=&H;asU= zk!}=B4j$RvoUOlH@i-dB;GWh_=ItW)a7o%^=uEmMs4rTp*1ChuL4?!+Je>C4+UVTW z7M~5n0&fg4dDeZkUAu{rh1>1Kt2tv+7j1HFl3VUfr}TF2wY@Q(zwh+uuK2yFG@-R8 zJ&WI8b6rk&`)DbHNC+}laeuKCYq1T=&5Iv=?o(Ai*OnUoiURTX4zmNTk1r`EI+o{?rssjpYf$GkuybQcT^&x|=z?R~tBPT3|nYXh?lF zrj=c*(uL-eTo<*JjA2-CnfrEADejvpSmo^38@I%>f=HoEhp#9jCp!mD-MOIaROU|8 z{(h%tl7ysRW0}aywmZBgjPW%7)nkPyY3?>OtxSE*yy|(TE$_(zv$uoUmuUs(Mw`$s znl$mOpNThd)HiprOV`GypKi)%5ftWLKg@g{vUBE~bxG=cyac!gNXi(nAm6-ubN9P- z`Zp!{(!O_xwHfSZ!_}n1zj3x-=e+Z0S^h%}!N5<50p@tB_BYW8gU?40o|XP5F~A(x zlD|a85XU(vz*@naSSyI*xYJRsb~<-bt5JAyIEWI@ND6`g9sAEaZW0=)QKzq zbdMAS0)wTFZFzjB1&HY>kheYs0@@#?9sZ|DH!!CKFaqD|0Ai>39T|{1iZ%#8m7xOZ zfSCV?F98dU{uqJdu)s-SPQU!SFc%ntbdJ}&G6U=Y(3=3K2ZjM`At*0UZ6(l0u1eZP z5G}8b1IiX^53D1yN5O4uAQ)R~ggpx40L;)K*7hhU8h`x)$PC{y2bej(F9ZXuBMh*L z>aQ1~60o(jMkA$c9pDZSj5QkKfVM#b%drqPa9dj#0&QypLD}K;FM`ZCfeah)2B1g& z6OiSALLd@IBof#LdUuoq7yAG2Qm-+H`zSJo0N(u(VW=|!(FmSw{|hCKV&N#5)UWxv ziz$fx7$`6&X#6)_P73%_8-UT@#tq`<`2Q&T?m(*k|NqW4viDvggk;})QCUg0$chxo z%&dgaO(`le>xeQ+h_Vtz$u5L6Y%-#uq$o-9d!CEljrZsGefJml-q(4a*E#2PUeEP- zKA!*fJ5=ZsCYe#O4_2exmi6bk0~$GjBaDJaSl&}s*SUHy0U z_iy8&Pz(=F*B=2r03$3H<}cUT@}WX+5yoYA1R!ucRPvyU$N=)S04~8C5FCIyFp4}- zL*Bp*0%|Dov#6l*kV&p2U5Wk$HMhqdTv!M%-}pZ6j#x2{NO~S8S^qJf!z5U=h4*ey z$c;ydeQXA;M@`**pR%5?(JSE+6J+01?O|*bf5hoT=IVW%ioEvOFLlgmFRc0E=zr+j zC&i6-jpnYbQ!zd^cO>H^TWP~q2TIcF+U>sn#*H*yFT;=O$!xbwRT4eBLY!(MJ9AYC zvs=q)ob_nw4!&~-nGIX248~?dPTyi!IqNV+hi-{F-h661#cfpN1oy4Vs@UyR9qO## z?y)fBSk*;RN^X0o#sAvFcW;Ws*Ec zkC;)@z2jFv>(c{mCK{ZNR*x4n_1<=^IWp>^LL z&jR>-<&N|qsp4OOmyjwzqr3jH~Ku4^x1`S zB^i_8yMq42lNK^ORF~s(%}4n3_#Ro1f`w@nPw`$UIT22KbRYl0;;T~?LN4v$vH?>W zy$%)i@$ok4YCOfbgF%%K&{W5w$-N7go-7QNy`zun^Hr<5tyQZPx9*OFo$-mmK5ZqR z0hg(vX~%F%JR9kTz>6Cn_E9AepB3utY7jQ_XuhrOeC_xtiaN2<^SSS5>hKOP4!tGq zoUWKpnHc@BD?>dnhA}jj!(@d~x~uj0b1(1VXl7q8uMVLtF$?RLnm_GU z*crR73iiwiO08xsbHiAZ;?Wy3_9k4c+ekyXpKXkb9ld5xURU=2G%MNMUzpTUj{mx6 zs%7fhx`Q7$I#vq`U!p!Wdn1rLB!Rgj)@N_mwV8GJA}gDtn`5pgzuGkL!Ag5WOJK{5 z*|v-@{&meEdg33%zCFRCj0McY)>4Wnfp+Bfj1+?M`Mb# z!i~{zzTzLHg1$e9aG`e}QPE*#I}DtE*0%zi200PI`1hP(iF^)hdr>*T1C~Swl?uwAMP{0%Hm%~*c04)kb3?N8T2C2bR1rR?5>opRz@Zf_?8@jQgs1s z#KJ-mfeK&5QKDDM;p2QO$SG^UaU={T(Ijj=PmQi^LuAke0+hO3CnBr}KA|w{KWR%m zxjNSzb#z38Dew@WQ|M4GvsOVQv1lC{rV9CZygV6CjqVRX*r0HRoYw&-<)?c9S_2Q@ zV2s=WrCf!uQotuNYXbzV09q%%3K8Llui%JZk!%EI709nBpaTKmRs75Ecz{zVk>LlZ zXCT6gRqfbwAyR1hLGa{SG{wkU^)gzSCE;M4AUkMgGKP)}KvMwRwkjE2AcM9StipLQLmgoXx+p4bON7aKSQnmURwU@*ow|l<8`;6NK&5h+WXWW(Xue? z=(+BVG{0I+lOc4?K;umHvG)5<+mE#nu5a->F;kv2(}(G^Yb(bq;nxCwi`?)O?4 zb*A52SG3p~Wo}Tt$D8!(b!lq(`aOB&ck&N&xd%yh#lG6Uu}BVeZgSLYF}AHwkv-Dt z&bmtA1Icpsu$kA+N4rQKYN=BX?R*|~oC}gSl07$LvA{sPC$F;g{ECQBCt-#l4T8tl ztWbQLl?Z3HBST@YN=xoK{;~66`~mXq+c%umt)1w8f9`g>hf(>V;{tZhM|5s%FlZJZ zj}3}+h?wswIMuQ`p!=k~YpPSwvGY>K;}^L$?|f@Y+j`mIdq&Gmp#svAX9u@W`rduo z(a11#+n`&{@tCWFp++KePzIarLxig{?RFj83cS`QAxlk%ju*Y&jDEF5WNdx-^!#n7 zH#(JCn!D<2Gew(qH|VcFyhBIC#pIhR>xtRb+=ExU`ZN;lZEjULPjTvUNcaa%me^Tt z7ta;dd0sN|deoQu)jQ+J2-144p@DK6R@SrvrV8b?s7Bql*8zdwwo`VUd4k*M(tf-p z!V9t4jTnk}m{TJur|45h#G_gUOq9AehKKUrd(y+rHojxswj}>UE4R^iNPe06c)lRk zRDiy~{Ox_?YoU+7ks@-^_rFe#lQ-_VIxuvu=(fO3B&+mUxoL?oyJ=3S^hKAxh^vJ| z%!em8JR315%YhXbwi&X>k7V%5DNw<0`AVIAU_q(~97O`)VPYTrgr0e~8Eaf@BQnsE`mxB)hVI zKg)!6Lj$sp%tZidBy1rnC;=S@%$cAHz`(_e|G(YQ$qA~}=(sizquDrsaRZDXngYP) z0pga$VhJThKxF=v*z8RZY`~+{HWY?JihyK+XzD)#0n4ZaiNe1U$UF`6ys889%#s8v z#2&9Mq=cb9fw*dUq5y#>-{HTK%k&Q9Ms5bVTVybU&l=I-!Yb7;b4G!v08oCID8^r@ zfI&t7gMW`qQmw|plNXdi$N*QgN*DN5mPJA|K~@Q@)V~tx{CZL31!+jwsYj`!sap`5 zA0m~2mqZy}-oFxw=#igKp&h_u59|FjK}h_`szMClucQrvG|Y-t*nupsNj#J_fLj5C z__FeFD+vUsjKiad?SHw2mHI##n4k*$DHuQ)dl<0k0U(Gh5n}YR1fUuCD~T5kKw=_+ zEKx;BkpR~Sui&ysVDphxgzfFGM3O$k!bt^*f7f<-%AV@mSOef6 ze~G4$ivY`>K!lJjB-9kZ+94<@V0=jbZTFP30C~Kgi<(G-{O*I{v@B8)ivq)&@K++4 zEJ36-Cep|f(fu(!(Akjh`^)LQ16eq{VuBeSRwuR!!Nysh9AGYGRUt<4SCgxPtsQ-D zg)o9tRLg{7ar@*X$YVuhPzDVeh`dt)!3P12Go#@CZ*lKjYixT@DAF?&RFsF829l-A zBEeLFlp^dRe<^YY=r#134SCV~ z{Kss9JJ<@CY7g}XT+w%L;7&`kL#R2Ir2-v2M8)A<{Y$Y6c4S?Qp?(Dw0c?c149hYx z8wayH|5j%I4(z5vB*}=vPyjg5Hhs zX4m7F)G0VRK%T7nBc%lX38=#aKUMN75c|J&f3jIG>kcb`tV>}>f>0WGLyJO}(@Vb! zmH)qzOTYUdD4=qT1R-Z54;!)qcn%m_vjQN;FvWts(LffoG~vJY9Ppr94Fv&Wjk%IP zWP?qv0Ohg2%9j5xH{)mD2~F1o*9me_U|qpdz>F#dU@pQ~Ulm~10MA(RcmCULKH`Ot z*=X?ud2+7I@&Hss$)ncOVZyR@|2hno9#IjGX1F7wIKV;f3Pw0Fpd;kfR`LChV;~EPZbcz9ArlX2 zvc*AY^*T5cHY>1594}C;PB4k%#~7FxsKJq2X10b4!aVEct6qwr!wUw5UvD8cd%-?{ zuZ-)&`}wuEl)0@wsm99|F-l!WR*qaac*WkHvVnGdMMBM^r!PCx*6C8zu+y7<-mzzB z@O@clTrv*MMBEa`it!z7zm(d84OwuK#@{vSS=_9WNty;ma1 z+EG&Z?)hyGGP3Xc&Qc-Sfn8fyVbDH}{!P4BW=#@lSL+swrcRV!mkY={pwx1sMLoy- zT}5@+jU)GMd`||S-ay$|NWEIwY~4B;g&p^m2m6IZ@77c*1a|R6QE>0JwWV|OX(L@* zFSLOFdb8<-crp#k-WqF)4GkutTkgf@US*5l`il0Zah@>~o&JuY4TQuK_Zv8ezV@Bf ztKkT~JMV_O+j;byct_9bvWPkF8N$(g+V}DHuQtvWca;|0BA`t2m&oZkLsA??cd zv;OC}Ng;cc4$)G)J9V{rfy;DVNB!>a^(2y~S~K@T$?fv#h3AKjp9Fn)DNVur#qC19#tYXkcAeB5iw->%D;3AKCN z{JO#a*lX#QC&mb&ca|xBBWFzg`i``=;e0_iPEI|&Gnb>|BpudpHQaQ}xq8+kiEo9_ z6_SqK;qdv4{WCma{o($NY9)uGKW$T;bnSLYXx%V7ADbo{@G`RVOsRIWlo<6Ef$X6; zel4CC3Xuniv_t8aX)Q%D+dtG{)axFx#v zYvw95tRdw4rb-&rvKuqR=?-NXk3HVjZjkOpqT044_gL$TmozOB*9rusv_g{4|-<({86+RwstLl5Kx-9KCHwLtkzGue zt>s0H3KJ?1hkV&sQ%XC|=Iu7m*SE2&|1 z{E9haPJZgijB;8km!(7S*{@DbS=TSsRvtdUscoXo)0Z6mWHK>2oP)2-(;OM1iB*i% zraVph{Gx1N#D32s;?O(Zv_R7yhK5|Z)1KC+zZIs*zMRSK-^V**M}$k-swd{%-ryd1 zXYzsD(OUW;H%4>1eUVS_!B59GPZ9?0hZ*k{1oTq7yy12vj9>Z<7-FJyM*BhyDHOw&1l#sTG4IXJt*9hT8qRZ5eFx?6Ey86xNuA0@B!wmcT zY4Rd9tEnPuq8?8ssa_jzoUI7t;)>{W^|Gl+4C`PGPagDc2zBj?aoK5{gl2A0pTQ+O>Wq3__QRiZPB$%V375goOj zMaE`<c*LWtL$Tl2mm$>D{K(T{i()>Y~TP*35&wHl>DTT5hPIS!AvTZ3l z=wPWVD}M9AL;5RbgJ-F&igkv2cytTGI2F%CAkpf=J<&RFdqmQFe7^t2h^|N9I~@}?{II!)cl=R?m|4)P~%8Y}3lPj=2bYuJBqy#8&U@wKnqW}`W(MGV%8)$U&^(;eAT#->hycO&vn$aDH@zLXreDlxg>Qm<{40NBsHAf;K!GG%|eXzfyc={ zQ-Zl|*9*!#f7g)yw5Zj-{FAanCk8GSTPe=ueOBx}=bX@zy?Li+<*D{y@k|D$t5p3W zDJ=*27p7}g88n_|_t+A;@Rbm-pH^&cKtjW6xYTa)18Hpb^bOD6*G&s&<*Z)j5^vh& z_3YBrqT9g~_GB{DME=1h-)H=K7E;r~8Ic)7Rz4e&5BNB}rWlxe^iq3j&Unlvnqqv_ z1?xAu)}s`3C+oc^eECup_VaHxQrc@+vO=U){}xrCz(D2b7q)?gBdRomej5vf{AabE z;wi3~AEkOGO5$dT3V&(T-|#H`vEOB3EhXX6gHOk(-ngwRrJbR8`RFin`AGxMdEm#% z={-C0hM*FSyIGj-ytSU4{`5+Gx|n{|tcx;FlxQNqysXH|R$^=u$+c9rEzM+YcE?Eb z{&&J_qb}fzhtI@MCi>%|z5OCY`;2X46;0v=wVr>9V7^CUUj6zo``F5-8S7Q%632r! z&J{OyoL(?4I_*F2katHDcl+)p{lFKrtNFTLaqJVJT9H7>mlh#@@$fW*9s7Xz}c=AeEs?R$M&}4gPY#z<%(sVsEnDbSpnNu_*yoG0H@~{S08f? zmk*yx-x;7389hWDWtfyvWS5aWuIh0npWha?N%^+|(T^t&sEX5B)9&9D9d=-s?`@48 zqzxrcR~^_A6KA}iVqj%eM$pS`2h9mmox80WRYP9ptyraT(TcRbN?LTQ%C`3PF}zoX z6rO}{J+q$Eqt9#boI|2p&>^v#8n^C^Gl{12`)b58%?BTz5A{3cUi7ux$oloyLX&&6 z2j2Y(aebV(p+Vu=uVs6ns2-2%{NN@*#yaxDb|_vyQK9K_fZ+$ z^4t}B2+i+jj!rZj`fgN^w$VqaOm2Q=_Qp6J`ueML)WXW+S>Nc<4_OdL|5}2u0dErv z=VChRXJjLkg_4tJe19g-2un#ZwEq&qhql^Ks0%Cs?jTqL?(08*J0)@2mX3d zKc5a9b?^m<3gAO3lR1kN$T0u^b$|+ZCh+VCKvgaew`;K?N%$PXi@7|%T?v^NodBT# zMY3R209x$)F${BJu|xpYx(Ia$Kse+eM)V-aLmTfA*}qr3|bZj&N>l5lH4eOB!3+M88mqI1W0v3DHcY&Aw`DM{;#`( zIkVVF0X9iQV^LV}t|-F%+eslj29aevM8yH2ipIf7e;ojk4poTp;fauafPe}NV3)#j zum9_$7{n9q1tgy#J4N>PWXQPT|219#R*Vuvy8ucBd4t6hti^Jz|N8)pcSIF3w{Y`S z7Y~sB|2-s(r4ftzKxZdasIbGXK>BAF^N)uGkufF63PBQF7HCJX@oSe6TT5`$UmgoE z7Ls2QWh^KJh$8X{{&+=yeybESuOCJM99g&!dHf{5~So&&dZ1> zJjS0yU{P=gj9|Q6L`XAX3m^TS`Y$UFLIFU?1(kBZ0=5(q$V)>QF)Z&d0^v=9YDx*T!I%gGy`G&br6=phKv;SI)GgVHM)LFI>Jm5U-QUQg4Ln<>NU^C!}Dh@y?AgYvFB=-@TIFG z{rdyj`pu&HSae&d?-^6SH#shHPQsR6@wUVXvpl?lzBVV1;`xMTJ#$WG0LEX8ZoOw@ z&mes${YKz}=xCE0$LaHmD$ay$CguCiKCIuhCM`1YsPyVb7FSkAJr@i~VyI+XLF5fv z6MTvf*;6X8_c4u?*FJ}t<$rsu!58Bhv4t<^ zEK6*ZlkDXZJv9HoW(Jn)YxhJqnS{IT9Gs#Gci_BvkYe(d^by7Dk*u`N={wEC9+Tpa z9<|-f$x^R>6d5X~i_F-u&q-cY_o-Ct$Cp>D6KPiO@-i>ow}K(3X2y=t>1;btkk(6u zOn=J%cyL0C$K!T)>3W6(^IyKtyxvUZ$VTDXK5}ur7Pa;JujzhQ;*O1RcBLk0*?0`y z|8k)46vbZM)l|w4SW1i5zCRsOu{HUnNf${q+a_mIVWK{#Nm^?Y^T>xAue>R)Ze4L% zSDbTO#7)sCLRonMuU3E5mn_~7kD`q7xIbw*yNOljGBn8*kJ>+J-63N$y|p~#;`NV( z{_o1HHh&pvDF|JgxpToJx`?h~@a90-c6GbHHv3pgH+?5l`IBC@FXRNv8SskklpW4- zqa@;V>86C~9TB>dolM3&#P@miRF8B71$UJ1thls+N#!PmcaFlSk9O;-SMO5N9f|0> z%P(8>)2`pH*gq@KJ;`(U`4=s33%$lq-C7RqEyT9il$t!uc@k8!F@pqbEM($LPAARb} zZv;9r@iz%z;R+Ve{y_M=q z_EoQ8z9;#0AF;yt^cfF|YN>(8OpOK;*M&`)bKFli-;EO3`ZRdku@Q%|eJQ-I`5jiO z>|;JfwkMuRT4_158FHP)Q!DG;n~OU!!^tunTkv3v-e#M3i6^6Rqnnc_1tDOwZF%xh z(tY)(j-kc56Ercz@8*2BL%4?*1h*dJ#Y}5>t?D z;5W>)NJ#dfTf~qLeuLD`jxa=y0+OQWnJ~aQ!(_;nN08871YNTnMPw+k-^r-!_I|)< zI2=8R+C?B)I07^;_>W|(ghjO3&l4i!&6aJQrD!(+2y%dIwd_nJ;)O86r=>ZA4ntq{ zEtQBOz>T2Cj@5{&A_^GJDq-yaEjyMwg!~AGvBLg>B}2dpk+3FwOsHxcG{?JE0+Szf zQ9Jh>q2^M+2<@;m69F>zSf&LtD1c;O`3T_|DsfZ@V)!Up2Lit7BiejK%*9zc4(e+_ zt3r*@@^Rc~6)jE#m{G~ylpF?;mD~ydHNfC?V9J9^gmXd02(o+V&?t0Ca%`evU!Dys zbPWPf7mNgW9F|X1Kw~2*_!s9smjH9O&V#uF+=g341G=f=MY8?0vXA;ybv(+Oi>YY_t*$z zXyea6pdxD_kuo4p!Gkgf1D>Bi{(>43N^tj3wO~Ya=__dhna1Hy!f*l^Ci%Nepo#@s zoa~;!S${WqQ8O6|4k^rzgeUa7Ux(Fy{c5R^=fnqV18nGj8;=f+BUlEA@mHKadSw>J zie`x7c!5)#ppbxBDBNQR({iz%3ebZrk~(;qLQ&QCfnAR7yV%_E>h~H}@osGp+o^lm zi%mH3W`@osTElIG@AIui^j;2In<|xC>q940pYIQF*`v+V{XWzn*p9+cPy2eu(M;<) z`D8casGAmHBCnG!Y>utte$6d*zHMzIW(I8c*03hRxBW z6^hB9TV;88N6O^F%^cZ3R`jcio73iIR()dR{apFkX0Tq;8d`$WpKDZ-!Eeo~o{F`}_WW7@^N!u0E%h^#>GBoM!T49_x9a!shC^%vDQT{O9Ulb@x4nJpTBJ}39@7(>-#_IOTk1xJF zy=}POKT}2FDIc{~SD!yK^R(lIU7X?_aT9}?1C(DsZF3k}A$0Ymrl-8zmiIJP>qOKk z$4|``OUf18*cXz}DI4KM*U2=SFWsPiiz$()Rz+GdR_?r!)@zF6q{v!#j$GOt%P{*D zufJ@;Z}`ehOYOpSkryxAH*q~I&M-yBIh{Lr!_GAfu@-vsG$Y3*UfpHhvDHQVgp7O5 z{6L%6ksGAr{+}O-SA>O|`lm*RIts_`Qg++BgYeoGSFJt7QWrd&>~7`y%z*o5U!=C;3_DQX*;yjzvbY{f$!X;aLmdB>-wN8{$SBnI*L*>#h z-KkHi-Pf^aRMKcF>!azev!=BBs5mmaj|^@z7N!j59R}3;UEbm7GRYO2{BuU0+&SMl z>RJ0b*aF|VSvBZp*JQ^^?K`7Rw{GQlG)429S>K|lAkeX_Jcf6in0V>Db7WNHKx~?V zYx~_@@2%c(P8=Ri4oO7q&ybM(L%~Xm3OrzRQGs(TQ`!6jEGGa)fgL9+x~#x|z{&~a z!XMDs%QQe}?l58_2+%4ekb)skR4`oux&}iWLO22Q2(j*cD0$01I06N=>*#PRxv>Pl zJeHOxmzDy#Da20*(E2!R4AH@|$pmoHV&y5M(Loepp};eo z*}GST=jpO(`Dn(#3P%U4^+CtB6lx!iN% z@MhDQIn1`#q}@ZQV$S3dMaT>l>%;3>_fE(0i-|?juK67PJ#`+x+LD1%>9uVC7Qwg= zI+>CZrzS*e1a7!k=+kNFe~;F~-786Q_wvJ|4DO!z43oI@$lIe*H@|mFFgs>49cWr_ zLb`6gui7QX@@ZM866F-D?WIU_&nq%FrH@nWPx>@)fuF{r)9`CF%Y^Q(mYZ%2#YtN& zWAq<0kH%WD#63!?u6X@bZXj(WW>WUFtL?xk$6V^5_0`0JyID!e6my+oEmzCiHs)%w z4V6j-llIFL?sL0(jas@OA$vpXhT;pg<7{(XBtxD2v1^UCI;tBS8CHlEI*xX=9obYI zV({I6PD1@et$k5M$O?;H;;#)W53Gk?b*kx`zayWS%un!gj*59}OB{7B?W>dBU~mjQ z7vWP1%gwAFaXTMFP-R5%Dz zLGjtd=lak1_d@!Kwhtc$?rIODPt=!jU>4*FHp172M;2wRqq|BdEMqddTzKgfuah^0 z<+rmw;}wFocI?g#P7io$Uv@iFR1$iOM)t<*Hlhb^pZRoLRQ@>oy%;&s!KtTv^Sk)Q zUpwcy+X~#e|AFuI`2D=sc0-@;wAARu3j1vO#7~;QndnzWyyLN{b?pkP(f1`VUUTQ; zw9(c4dW*(}jcZHy{wluLuPO4JZL|B>J)>`YE`C;=-;JAUw_r@QLuq0kvB%`W0ha0Y z+kM20dUocN)Jj!9=D=*NwsRqS)oGN4fEp(Nmo=Ue+;lR#$F*+)ARe9^yCBu^b}xk7@J|e?C`W z_NnmbryJM0Z+^(#8{BwfZg{IfM$V^FuYT#dJ*$bkM$^1~m<@gW-JVUnz2nvQ=B46P zi77*q$RXXClJUX|7t89080;RzUFUka&`}W-emablRd)Yqt7Xmp7NK#q{8GQ!6MfIr zdcB+SQntpY%NnU$%*0Q>l`xQ0Ek8M|sj)IPIAG2C%GzxA#O=q}B@>4v?`if7Qr>w} zSzK_EZ+yI2aNWr8=2b2HtG(`?yzE?&w;u^WWs*P92(Z6295R-EN-vBzC9t;PAlA05wJS8rgJk+Ec1Ni zGv8=6`+&9XOyM6z7LMgCkS;-^US$Ipt@IoPf3Nt4ZehikRZW}cSrystpq;DAWH;Tp zt5Kdzh4F+xfd>^@zhSlI1P#WxtT+L68p9j?(|49hdys#j0A!8Y~t`*`xF? z5F4Q-ElFQ7~e zf#g+y3*=9Q^Sl25?jPp-|Dta(IwH{S7UPK6zfsOXV_#@mi^jpvFb&pegq;qq6L6~7 z*-&q+V=YD`x7;tC?N2TBqbDFn%gRIerM=*?Zw?fCm;-Xf!q^le7n-xa)^j>^a!C&x~2#{ zu%g{+do#$`Gt`>PGNHrpPIcr=t?J5<4K7k!MS5R3Zl7q998+VQ>hB{Qzke}JUQ=PV z;eEt9ukq6So*O&;xo16Z6bUvcIw{NayB@sZQNU%Uy=g(0bob-X=?c+;&WhpY>bm)p z8-mZBe^e#4hOPI~&4bF%sU4zf*e-5q^E^CzYr;u>KvC;Vz=N;#{2_CZT^gJ-10P!) zI7*arI~n67^(glS)imfRTuwZ(=3c|gPJ)w6$cimgrnT*dcH8ZB;*MjAdm>uaMibbs zaLQh6% zY_ad1uZ}EAUBh&ZaJ93llfs6tB#=$t5*>Fs`KeM@+hkkooslvMy$2~_I#=A}<;^Sg zWUU^&$@Z}F=6<&EnmYc5!E@j5?+wlzHo5;~{6ly9t_&u$MvUn=DWojXY$x-Yg#7r< z==WRBgr@I(*Ei7RbmsEZ7oSVx674PY*VNOARLOMl!-*qYea$UzM_mTari6%>P4ord zx79wCTeCqcBUim}eXxfYd-TltuNw=FjO2S}nbO6Y51OyMTygQB6TMxl$9f<4uY!Jj z2NQ+f^OXysCJ!^#rN*6DDK?MToY)t#xEn!RQjEHDal!t&8xhF6(dy;hXpy-SLIv2{ z^|N^ifYEAdWD81;h7D#T7C6Xp5G8TKI0Avp)(Jhd7h_?!C2@i{G;kWqDeUeaK({dB zplIO@&K{NL#vMI-4w{yF%iuUE2*9)U9~*{a^>M#Vhlp02;MhTkGMT)y49GiG5e6_N z7tKxEJI4>iV zBE}TZ)yxzcx2kC4pfKcq3^|$yBgwt!{tKB9RzCvMMk|GJyy*4&xRp3yTf=(LLEP>& zdiM?z&P}F0!nR82lD^C)1cxnhCd^L(X97GrZ0~&dk^DdUsTNre-=T_ii+_FU07blh z8u$(1OW-%)MiV_SCx`G$Zyk0vtlnr*y#N^|1W4hbVWE?&3vg$!sR6rzB9vO(19F32 zZ=jP7ow1%Y!*wvckEff?@ETS=jZ0CZ{u*mfX?wni>VdgcR!ZjPg}a;H40pu|x_2T+ zBe@#S*Y0;w$;4Xf$ZomUs_V)wl+Lonz)!hi3sRk7E*U8*<9@_t|ABGZYu|tzEfDRr zPMat(y)qMyeovyxAnGgG-^}_tK+3Lra;2619qD|i4p2%{Fy&tm)P2|6Uhg^Wr86Y1 zbzt0d_{g@zYr18-Xp%QQ-=ZTQqdomfH$OJk!N_JeZ#nP0s;FFNRm~Z^B+#Rsec!EU zZ!OQwwa0y-p7|4BM{`a7>ms2Kw~P|XUn+^nJoz*iWc#LWPusJCOV?7}E9cZnEeW1V z1*!_ydz&7co(ZG=`kg(=J3z_L*biMD0)*O zt$M0;$AR_d%!Bq)JUuFuQunl<%k?%X2Qlr}Y`#i=UsU2*oUYQ!%>r^f6Gi7)x0 zMXqht=82V>>9V^MxMmwi%F8t8!aFxE2(9tV?JVCv(8f9SqSo&|vA`wR=V8)pB5Q$- zjAH-j%smRq%JZ=#j?>Cl1G=N#w|&g8DR@$#e#_IqmUo_5a zuHv8lTGp0nojJ9$m+#vZ!9&zr4a8JQZW&6Ptr8aLG{Q=w!b(SJ8B@v`uAJ;03Z`dQ zp>tP~Xwmp$=X-WCw&P`Nhxgc-`4uJ%TFm3ucy~vuKVu@ed*_rZ$@STn4Fs&WH^!G! zYP+S`@IQ&YEtz-ey86Hlq{aQhm4z2OrNU(Yo58OKV|5IO(Is-t=K{<1e`!$RDN2f1JPmGUO9|7ekW zM3N9X9g^{U3m;N1!t(x{3TtfjXQrFkaij*beSDT`aW)qvPTo#3nG>8I{L(dMCRD)G zbXI1h__Cr|t32ZN1wiu7H1X+`8sJzb=**z|I)rR_6KHPzE0kTyZ@s2$clbT zkM{-V&aV?)Ri}1QyM@ok$aDIG-HCNMD=F#b=s65z#5AsJ&Z}C92q?djZG7@M{gJUx zr}f%Kh0%})Tk^(^rPRo59j*`H)biyh=}zulo0I?H7QgAd-|IV*svlBQxj!GfaQDMo zt#7OLS)Elu6}WKhXci7fZjQ%ABmh#Xh0%c_}#r<@SrmEhUFk7waLQ3>&^Dfj}^#$pZlWu=sb; z040#Y4cPCC@CyPNlYo6*61YUAKwP3yATCiU5SOSFpn{$(kGO;y1Aa5|=bxJ?5KyId z$eI06S!ho)qDVnhAfU_-AsYpEgPe^bDl9h6D~o%GtVgil$=N7s5m3OXnH1C*5zuM$ zT`O`FepAJiuhDYw?*GF>4Gsr3p`UC4I2gWJHt?71UeNx~kX*X#dmFL};f7vDOG6Zi z%FBw4sBqH{F{TE!8t1c^m_lEDg4B_HFQSbKb|L1#X3E+J9*n#usOp+7#0w1q7BzBF z=AmxHAMO4Cqp{+CbVCnffyTw)jM4rcWIswb1wUYwOz4GPEL7a5KI9&I~t-6!-y4d3}P9rgj6>glx_sZoc;_ad*=sJDkOo_ zh7rUJn!d-m8lih$AlqQLh|1D%`cW9(Lyu!b2P`Sn(V9`j66IomX9o901Kl%*Y(uAM zpdAEM^=qQ^FA=rBO*lbgcu4S1Bec@LO6&&x+N|J8Q}-C<_(x2r`G%!i6RN`TC^ihF^Q$v&^2J108bM)s+j~ZN}$tYBd2|+plld2Xk<=To3$`? zphl-blopxvtb6yR$g_mLTsuvpbW@a;_T+u#GX1QRy-Dt?9vj`S?{aLQ?i?)rK9?`1 z7h28jd9MqXdBb()+ClN7x{>MYU+#Xfqwg!5lmK;D%q5X{$~<9BMTVkuw#^jN!-`{f zb(tgC&+yVaW&{O43q9qXdMWk$Sf5U-Ttv;9qpwH|VOL0|W*hu=?xf|bDqE>jxJr8Z z{=*BxvLQ0Jm`4=esO}Ol{~($~yYVRrZ}_ZAF+GX$>Bk|Js;A>ywIhQsCe9zYz;K6Y zH(lzfz;h=k%@I7^XaOc8w~{ z`OeH%+67wN3alqpL}xvx#{&q;r^ECT4i($s^GnGtDpbMxwR`*|Ow+yvkJNbneVR z6G28>peFd(hrm_ccfOcVo*atk+0rs`Oi;GbU%2+Hj;rOREkY#g38!R+c*nEjK^xM< zws${&@Y!;`+PuTe7i1SM^tnc(^NxcrM|-?k8T17VwDYE&%XPa(om)g6<=vRgw9Rd< z_Oy6*+*N+g$wj%!=VEW2^YaEafw}L+yZ!G>>(0lyY*DjHyb-ix;^6g0?TW_W5Ia+< zd;8u=Ol8vdv|XE);3vJAR@q4WHq+;p!neh_*2UdLV66+Q#^`0`(q73lbeoMw!;?Fb zkJE%!d)t@J6@4zr@dz99aYeEbE3bd+a-jxf=~EL;cMKd zffa4^85Nf`4UV{ma8nwMB^`C(s-EsW`(^D33L#M{yonX#xT;hxrANUhPGM8YDUOs}V{d9zY)UjW@(MrWF@Na~S5E7$S?*$=G_ zMO;4pV~&q+N)!ft2|ssuxC4e7tih=yKe&5Xp4FQ%lVONu-PRQs_Hf>RaCz&CkL_+3 zJUb^Dvi35Tb*mdScj)L7!dY-@^GzNXZ`85xBxZQ7ck|1;>a*RKC9XW1$&va#&VzmW z<5sJPh6=GKUiCX{h4ZuG*1i3rMx2SM*DG`Af6&3#rt$bKBQIGqLzh@`Gavx>k`;j5 zKN%h(WHm6otp7tV|H$zWmk4r*O9VMYa+<{)YmJ9VfxnA-@n`)8$Dn>CP~*E;DyoE$ zR)d~iE)`XR26?LT7>PBO>Q^||hcM%yfe^M$EmwdpAA(VUVx{K49>R*=>qg|zZ(6Wj zU|X^vmNo)Ctcc+VKm{rQ3Q`_iUJPXi7RPeNNd(S9E;9w@6cwO)Sso4@{$!OPT=x5L za30MHDGYf*3QR!(@;a(OXY`|V6tdN(ro2Bc0myzZi(*-gVp|`y0`L|fB!3?KyP8wB_~|wQv>VuWg`q7Ku!a1~!I)|JKQC|*!Ak^Wx{@NAp$)pX zOB%<3dR>4Pk0x>AsEZdOj)V9Hx!Z{f#1pXa@4yx9?sfZY*oO&08!% zM3_d&fz7Gs#q$8?W(Rg|>Ig&TBd+W2_J>x?#nL2;9F@IXa4e_uB_FTn*iy;J+WK!hWce#z947fj zhY#9#Fy+$;G~C61B}DENrPDq7sx_@Hd7JW1-P+)z5|a~rSo(}~>a3xd*b!RhQq6mp z(pYI#SsrGRrZp^ev&2_#Rxmq|!pbF*{au3p<&-70zC8P`YYiz^nm?L3ejF;DL$=XH z?l{ROnuY8?mnm^@c2jldhKkkkRS^Wz1H?@=-##H5ogsk&6$4fKcJSN2` zB(ow2*LkDr#X3qVR@!xD22!Qw=e9l@%kpt>dYQtnXJGAIzB*IVqM%^2S6qE~u7~l- zG8D&|M1S*G9F?HK{o0_?B-e?`zS=j>^rc3UY>;kxPaD03$D<1dem2v#cIHFRHjsh` zUN~?0ZjuzW<+O2o(XAbQIm0{izVK-(%g6^L$g{?DT)xuWua=>F*z3#Q@!gw`1RW}j zk-`@~^`86kkf*X)JYIMA^=T0Xo0cL@MNN)l6r&|o)Z6L@-5yeIdaNiDb-nZMd9^6n z2$R=u%%9R}iulti`9589g>HXsg_>81urrC_LQmEcpwG!MM~mN4?RN0J{f6aX zL{BhH5YMpN!htFkGehyRq@dHTZ#qU({5u4qFSaTtQD4%k+U9GblT?&f>b_Ae$6eDL zr_?-7_ppcaOSQ2`1hJ8xs!alI?PyNJ-6w5jdfh^Gd4IS z#I8F$^KsT`)IB6PEr>J5zEm!ZgsjwOkzLfH_{ElbL~>T-SML_e_%SUik3sY?7i+0+ z5^=Fn#ZOR2=p#$sYKY_{zd8C=4=02Q+JegfZPbm>$#2M3adI*OWc)*Ls{}44kbD0i zxCObGp9s9ui$Tu1d1Ma)FhMNHxyc_q3}8!_L*FhSLpTCC$cgDj^1S|k?jeBBe{&DP zXJ4YXhG1veb_Az_FaU;dIWkK?&p6-&$ZY8pFeR*~k^wZ)05?rwDaVB+uP}z3)?dfSuX@e{>)PzrO&>~H;xIE+|kseOj~CLFn0$G@Ew$ZTc7Y-{(yu}dvG=l>t6z`&2ySZOif*fF@q zulfhB(oqQET;zt>V2oqp$CT;6%ni6RWKlcM2e6v7#0G?rT$-X zaHxPI!cIwq(lsoU%}e|d{Ku|p88)H_r6Oe5h_O$v3d@Q2-#It*Xl@*ux$oaA(JS=W zbJ&iLXFykvGaX+*o8&M`GcD2W+2uFiPjG~MfY;Gir+ z(O?PJp3j;LR8Y0h8s>E3;Y1@K>>B&uD#cmgUNY@^s1dp_y6^LceFqaliC;%K{SnMgr1ksfJ``GS&t<_>T=>4xqS7|^YJL&MP8EMEJAw%5)82|PcE=|ZDuavm)@Qtwi9%3R<; zLqi+Bjl|+-Yna|q!g^nZ%KiC~_1ATDFN@l$`No&&JM0bfN<<$Z_x0;$lsi=isZ|Wz zj$7>A-uk)XlVQ&e?BE7{|3;)|D_wF~23{kEBBsUU2WMXlnu&=Skk1ab}uljr|NqV7@ zUTpAcUHx92D$?h#9HEgyrvf|%k|~~CyrWc|rWI_o>ET4zy*mA-=?#oI1~Qa2md-D& z=hUo}-(`OzvB=%f8A#;BWg7~~*x;TQc5us>K3MZYWT(ssNx~@l^1$)&bvM$R?Z@t>1z?5`}_eybr`&|p( z)2H{|pYAf}B)VTmWyV(!NBT!Ri^HbIlLQ+&g&EsW#dOkiM!udy(nsbG$EDa-<~S!C zmL57p-JP2}##=^JaJbU(PHv@8K{j4!qnKc}f6n2-?8t2wyd8V#tzOckY)ub;L{ZI` zIaJ-`LBF2S#wI^nh5A}*s#alcmUD}jV_uqn()C>bMy}+Utla&c#-d^?*DKpIKAyDj zJH1EfEv@y0Fv;T9Rmc1C&)O6sE$Z2bv3L5s-ihR*emps+{BCem9*>_2zkljzcHlGf z6%`E~;Rt8fqj}4K=rgVaZvmStD=$LEpw+V}t-ILnLeRPR@>N|;ItLSEi|aD-JU;F2 z{jSur@G6RfrIo*@XFK&4%ajjZ7i31n%ISr?Ib!YMwWkZ~ZJL z>8^8JNcU>CBh8iFxx1Ss+MhgaQ_

EZ{lQ2b(i$Tb|unUq5z`XG_0$lR$T@`K@l& zOU*I1qcNU*5rm5V2I;WcYrYNQ^)-(3-*oj$s*7K*{M1I9J<>TmTYE_K)t8s!yR8fx z%!*U$7N~Zg<7!y6H^67ba=nY&;ji`vk=%_1@{3F6#y?K`Qf`n4&}~c|5y@4**x$uy zI_9jSyYz4Z=%gxzn&2W{69U7aI{y`kLO`W;z}JRQtp*veN#>2kBHhb?O^AMjJBCr) zlChnj)4_KJ!rEn&3s(Awhlu+RHH}sBF4hDP(63&QklkpgiMG+Tmqj-CUr>J5HiY@_5Nj8}n!v;w$O@ zN7-8kM74eI!!Sd4w{({@GfFoI(%mU2sR#07g&f9*6=X0U}} z=m0<7-nePVDX#@`{!ey|>G&^sxwSoDw`*kr-B(sqE`12H4&q5@iQU*;#aMM#$gU64 zye)yKN!Pfkg%G7-zOZ<`F^tqmS-~z^^JOADAlsTGCliLNSHx{1;UOS^- z4ef^2NKhP}Wt-|%z^f6Xq2ztYIzM;5L2qy)Q`|jmBeVu*z=rv*cyYxI?@kfp@25WU z_rSsjLqDfGT)f6|mfjuB6pbIabkmi9S0#OW zvQ2ON{VT5M8>McdA8FIebw<9MQR~Uu$x2fMkOZE?)gX+xMa=&;A&l2DB3wX$SCiMY zwS@r>kxXE3o6Iw6ST$S8_cS%_OI=+JG%YUqB*U9dllkU^>^+4QxRiS8Q;d!u9ipcm)$f@ z<4C)j6w@O3P-KavUGSO*qv0_NcXNvJU9Xn=>?dJR zZ@#&GwJrvF0rPyTe!si}4eZJ4u9TUP^r8Jt#q8=E<053UXMZfgFmlX@99&p&`eM>> z)@CHg)W;tAK0XyrwfnfDawYDW=UHtmXbqCQk28k8_b7p%yKK)(3Ck;Dx#-R9BW{x>U z(r}TKs}NdDYvgJO#2CdRB14w%VY4FPR1gse5b9r|0=*r;c~Rkuz>?yaOtek~F$Do$ ziK3M^kr_kSfAy#(i8@Dk$a-3c0GOrO!y&Z)C4o`;cv$;8c=(5e{O2qau!lg8e)qRAEg3TI0NfrHFGLhvq7*NL zEpayw0fhlg1BjQQtOYzNW(X2?9YT!aNudUn;s8MhLrhgn&i_|_s5E-i{_~npWfz7vEezj#3ewO(GO#z$fGAMD|%Z0=X)T@+%Rcy0fK@mwaDxc2m{LH0KEA> z%C;CdKX52Ksmbzj5c))&_j3PBwZKIQ{7g>h1}JhB9Q+0lieiWWa{2*;nDA#SDgrDS z(Gq$cFF>#Y#89%ydst=M2SslzC*5nzb2ZcH-Y84qSy|R#!!DZ$NM|5s?`upXJSwQj zQf9Yc8ekjyUQMw)wrD0u4UJAe2!tysC@4D%+4;F$0=_oYpf=CD+axFc4g z&JRdjvUerX&fpH5<)xl^@ggN2yT{jYp6Te|gQ>6_~rllU)F^)CmyGbzV z7l=rvWl>gRa{eIb?cbP~!CAI()44giwAc7)@(4+>MttK|@KDnQjy}lzGB?i)is1)3 zz8SRl8eVK1Fw876&uhfraMD)lfjZkF&RG?+I|-bx(_EG9N>H2QSbO1i?p#bDPTm(^ z>MQioJwwVS&dz;ioB35QnRqYFk3b<)Ys{u!&4&VWVmB9G;06iM*T&obiLBYcIv18uFo$j(0+X(b~Q6Lmp2O% zm?Kt5bZ3*;c}1baM)fIcd*OCSDhYHQL2XDV9`UeEu01wo#TjQHutNU;2 ztD9qG&nk0Cyz2;gFmB**?zwO#d^!-Rn zz7=txp6kMF1CZ=+mVO7d{NY1J*@44SH$m40Plo0Ka~W&ud45}(=Iz^)D@tRR+YwC$ z=OWirFm5Y4o^K{?u@JiEF~l%t*kAwo{ldX5!^`y@RLw~XE~>){Tta2HG1XdmOD(DJ zG;duVkyz4k4Bpy0O*e0IogwSPy}sDT6^>HmE|qi_EM>W7H%76T!{hcddX^+|`b*;m zAJ7f96ldVV(olAklJ2NYRK~TPZ8qbD@vQZ?d6_-buLggDAKE@-T#_r8Kq2c!gKD) zn`#z2ySFD_aABppvwJj2OPWXut?E*ic@q!{-R(?Y%p15{#$;^H`XwiIgty?U?=m^X z&bRA}uc@3n{k)D?^05`DkoFNEjY}+w0_i;u0d7-h3exX26$VHVD5RMGZ#5Nm9GCJN zQVctx5m_Ud?*P_m9}cF>aj8An1-0mkB;+&{Ae+cSNeCU)4zT zCvymP%p8KiM3LnHEe16d*vq2X$aWBXq?IN_2nrJuMMh~tSdlT}n4ri4m=;}RNwgqk z5Y&@sDpX1i;P&e200BA#Cib6I`7rbV_ICyp?2lRCulqPw0xmU5c8_HHfXRJ4yXKpQP(Var=+<=^uxhYD z>4J$MOHYAlEA!<#-^{M(NDDjYo=2b$mVXTRBeZuOZ5Uw=`QlQxLP_*`m8Nctvk{++~gi*3ZsniYgMSyt*^Q zk~p-LdWq`2244(!tOg|$f4DD|y{2S(-%uk@`8HGw?@LqA^G&kH;*kCE?p^;y26!kaf%k$*3a1bCUR7m|e$1?LKF8cn+%7x49N8v5% zN7(cv3!sLf&>9v0{ATrzz4Ic8i^B&-@nN#@D7y1lqECGPSKlm;b9c5iKvZ?#3WkyW zsf$!1x0GFG5q8>AHmWdQl;ziK7*)Q^LgdW)s}BexKWd z2&}Leyob0eMYh|jhdDLz%rb}4tFc15>H(}bfy)y%M1ULRNaIu9@6Cz0Qdl6CTm>BxRtG5IAaYBg>p6U{3j>4Z%7>?qRwO4@sT)F>o$q5&^);JU`qd6=Y!#U(%(!p zbc;6JYFrbxTrs+6+Ks};#?I#8KY5XJ^U&)RPi~{g%R2#UiHNgJ``dosZiU`F&|G0y zVzH$Y+TlZN)vRkbwvwxtJWjIWsuEpsu={?C8G<*N;DEwH>S_u1qpQZ@VN88@ z2q+&t&nr>&Aa}(X)zKHCm4x8iiLmL%8l_ZDVuwfG`CP2@^zKyXN{YGBD8-y%v6{GU zevi2Rxz=?>XEqb^vkSvCO^rJS1EL%yqFjeLmN(g$!rHA~+eU?SWn4>MIN#3TzRsxl zP!C45S3!OJ1HJt`nI~syVB(<0XE7|PS2>m zaUrFm38~nApTe`3H};-sRCa6}26B0*wB3)zDtLFGfv7*g#KYlghzO;%hmja?#B8eY zzVb54T3~gz5F4h(js5JE+i!C;8qGj1*Vb(BvcG34y}G+IlWmoL5QrJmx|Ui$RFrf6 z!r8Gxh_*5tkuUAgGCPqv4|`8#<_Zx3w4LuvJf5N6n-O0I*DB=stN9=Nw$5bTPFsIq zI9--+D2d>1toJ$Eq-WAfQ5C!Cb76nRnNUIGD%-qpTY1zy-WP)MxCB6#g1?5%!Dt^Lf)oQT*I-G^OH1;8ZxCe>xzHXei=e_E))WIUk*i0U$tOb6oX2hy&e|Pcdiu>V5cm?ZQ zLYeHdBZxrr%1hMdgc2eKN2AKHpE{wxxL}}=w)#SZPyYi{hM`SF3|L_&S!=&DzQBtB zMfV(1FCKzJDvio6K=G@@qUnSV5V zsQN1j_E<*d5O&ng022&Jalm6JMh(Yv2nVp1K|KT!6GPhl^(!H`AdsJO+)w7zANQ00 zc6w3N=>d!G7~{2X1O4QQ&`=OlEHVB)70Tn}-{giUiOygp1m(X5dUl^#2+Du$tp?fA zz!S5rCc1}2`%T-+zQfiA!$wFe%eW0?OEwlkJA7@3n%mJ~f53PL-5uWQ9kz+lfbF!2 zvybX3v8xsLgb+96!_hgbuY4QAOk2RF_J z_@8B{_sa6mAGmSn9gh~`4drbJ2VGj(8QUg(u}&`*JnZ<6h5Jdzb4>4M&h~)^B*cE} zrgJ?W(+1{@wPvMUuKA7DIUW_03zNfT+%|}o)p0nk(Tt<0-ou0S9mK)tx(}s#JG+n{ z7r`<%oUOHk{l!G|R>;KIlTLOf?coo@o(I7*&Ju6yfNNMAf~CJcL~T{)>ce`u$q$L_ zmP^ew3^tEGF7@)!^iCuO4 zEc1r4__jX1EMjKj+}YJ_U+C)`*hew%^ji`H>lfmR$>xT(`gd=n>mqBE6ki@GRHe_D zK_!dWznf}>(~4DcCt)}TrajlM30Ms$v3b?blp84{VUPR}J~y9-ZIp-Tl7FL`o;Z#VPxTSdR6{8h$;#MRpT#26O+>01N#Pb>IZtSEAtZP9{Hs<#r zVE9_OuTX)=zjV){Qz1F;!b90P7DJqSh@j${B|hKUF05jHrwUIIvEEwMbX|ACoo%eF z$*J!pD_Vt5Yfx+V?9uGTgBYaur-l(;+F z>Rd1$&1RduZZY}NbcCVK->r6{>wJ#TwLydBQI}IAHxUdG&R-pk0%X6TSCvy}IYE2b9v#faAO&zc$iw5l3w zcZ3b!P^V*>*O6p>xbmj9nTLU+*r??y#vxZPPw({W?=wGKGCWPH)}ewkNgNo&k4&Y* z&Y5*xEQj6oWbk!#Ep2|vt7h=>!IvGEYqCtXvo;NQTY-dB4223Wy4=53J$g=Ob;vSy zU7dDskpEcDlX06Tf<{V4&!1M1$Aj1a1!fO|D8u=;{(uUM=h6qDpk|HR@ z$bZp2qU=e)6cPDu3cU5S1voK)gcY%%ytOmk5EV@7pP-(&$S*h*CQ)mD0fnX_XTaRB z!2=>k1^YWa76$mpC=mke?{rr11o8_NKwcLWAvDOx&wmkONl`Xnpkn---63aMAvEaD z>6kD}2!g3l?r>;8kf;%YHs%Fq`$v61d9+B2CX!vHLSFQOkTU+;F{n;O47AosUJ!Nw zL;mw5Abt|KjY<8wnqN&J$XGc}JK^~^h!IsEko$p{q)6dTOcET>i^2eqh=Lb^ix=o) zD4}pr>xP#35vFKsl<9qex}=+T-&ww1v$!@@lnTkRP@r|QFQ#xLjB{2`;ht~)RH)He z!$7OKO7FyB5HuCI0dEK*t5(5k%xci&F%@U#h^8!rX?f{umzxj(pG&T2eT&=-1-nyf zNn{j32M3r>+9Ep7q$Vf(Jk?#4D&Q1}et3m4SZ5Z&0s0tGT-w8+ls989bCwr8mF~y0 z27Ko5>Er7ePt16NMg3}rnY@oOdSgHS5+^4e<(OIcVq!DT0^Qk>SUXcHO4Y1St7o3n zw{ZydjlIThnR@=Cuygv2Zq1hc^zBTnjIP7YcSl2^EDbT|@Gjy-ip7|>#j)#YxjEKn z%!P?PLVPDzA8opny2SYS@px4JGLDiA7Cb5vXMQMttBOd2PlRh&H12WF^n|y6sg8!T z_b2tKCp}X;L2O~hUA45%j$doORugX~ZMg+r@4LD`H)b9n79AyCCaG$rocsM&mx^LR zOxK+iI74Tu8YF3=L?}k%l$EM_la-c?691A&Rv^OQf^}ByhoTu-hEWab67MocZ(QfH ztXrp}UM4>K$emz-{mJIX)6`n?$@SqlF^aWX7lOSHY8J2hhx32D9$Z$HU?YBuT{hM! zNG0alH~pr1L@{CWd;5E96WV0@jOKLxTNEvQNu7OI?G>+-2|{mAMW2b(o>LjI?~@W5 zf0DUijWDmx6tc)M#K6lO5x3IBq>0pWxFia(DW4-SX;`bhHM2Q&&G6=|@mNM4qZBLN z!Cdj#f*noarP8v;I^ntsQEiw@aZXG@R|F&K%M1+63p2Gx9#5ZMyl#^A9(RQQdXYi7 z-=2NdjoSuVZpT0{+Pf4(Ob-z_ETSHXiWr7eJi|Pl_FB#S9@lh} zv)XP`UpXD{Rd6WK_5AxAa+?-7ff${nct^VZ>Jt;aN1{pF<$VZw)#NsBK`Tzx#?Q*# zimJUEBUema%lI10qAcfnUaxOp<4uGl;Ihd-UoWh?8Hb-K_nix$kL}UC?PS;>!Gu2b z4S~jJ1VV{Bv}~pCUgB1NlO*j+9f}`32`jee`^_Hq7P&k?@PB++c**zS-D@F{YUB-6 z5o4sEh_nySIbM8QR^83<@yRFTdMr0> z_VLFCG9|6$wPVCA=94?79OxB2@s{oJf=+cDyYvUv2Lfk(Awni7S6>vd@`Mx$`v)l$ zc0$%bc^soi8^HSRGkdi;-lH%g&^Rual1!8A??0+T{^UPaXdK*U62fdF+v z7X%GIhzA6@v6i!HbATZayEhF41lNumDqnS2FF$cNamei#XuTe43GdP!>=%&XvmcvaK-M25=hS5kUBtn|F1Gfl%F>0G*v<06mihy4kfZfbvH=L)_D!3PYy)3!hbjZTEnfZt$f5@v27kT3%E z9SdlG>{2l~QC_VmPZ`vg3WcaifUg`fw-_QwhZfcToVNX%cfn5PUDk=|8dShgV3Wg$ z*aIQGW=Y}bVsLh1P0NFs+mJ?I?V*7P!OQQTcBCW-dd`sVI2VO4PL1qj?+;-Kktwp^ zc(u2B_ep;B$)!?%M>veNuf=u>3s67W-~pFmJ~{2N8f3TV3Fq3sEgXg>^Mk|Dx52~x&Lx*5VN9z`vGs*O_#is+&EAVDIM4 z@f2Ge%R+W1sXHQTg$oCSUk+!h1e28oewlmygiKAJc}HRZZxkV$rSM3hGTNslI72XH zPf`%VBocVD`_8Ma)a|S%Dhc!l_eXWxHy&F^wQb!aimW8U-WI(gtA*#&rFy1Ur_3(@ zQ&gpSMa+my&tTiQ7$jCkRn#=^R>Z^3sm{I4Z^-WD;ukw3wfizs4|qw3RG4D8lo@c- z^^~c|(lnb0J|FcXK8@hN;`MtMOd0#0cHGeV#i(Pb?IOQ7g+<6|K9YiH*WJ&s#@OLK z{>S(2mb{4uU<^Cd+!o_}N6BP19>aMRd@XZ_0fXGyWB#K>W1=!If+-@T!r3*i3>00* zEuKsLtn8B}lwVCb>RGBcNjN3__1rVK7p~fg#XtjODiMPD530W<6_j#D=alMN zXl?NZ6<~$xDRy`a>%MO=;Dps%lvQ1?xLYczQfiST*fZ)bUpkxKLfy0@R6!XpXR;yafRrlDTKfKMzMsQ5qurqFwaDJGW*#IX@)hd!w@R?+u`Mc7=CJp+ zsweoGi}VdOPQQ4PGvT=>*>ADe2win+xY+xNMMDyyqOSBdr?>pN( zsVPm%5x{2Ue2&of7>=`#{oXpTO_QDRYki3%FR9(+z zabb8=WD;i?dcPVUX5A18G7CMYPQUy8z%O8GT@wahD8W_!?z~bW@Ch@|Wks@hZ08F0 z=qoExJM9$6xfe!tU082z668{8h^1vSPR&}WF=epi_w9bkIc)2zKA)LAaO(%;Kr80{ zaUX=HSD^YJs$&)ZA7vAUGO`ik{Cn9v*75(otfK%c)a(cp6MSU%AvPOwD-Fd!5k+o1 zMl(>33n3g7pF>RQ+R}80JtlY--58B>L4P$y>!5e~ug1=(goD3T7a&&nYvKM`U4TC? zAfE6dft?LWtywmNACm}8SwYtrxHOWO3wlx!I3*6SMHUwY^DhQ)hR=*pGKLd#gx^&N5+KJxN=h1i5dYt(i?Dw= z9yRiT0Y(V=q!)>xL?}Y#za0pAB%sjvC!rE$`}Nlc@;_dDq7*>C2>}C?2#uq8??EK~ zt#d*bEo?A{1NV(8Q^7}F+= zQP0<^E~JmVELife`34OZZ#?@Zdva#Li?EcILq~rE;o4g)S+pB@+MCf2Lo3Zs?<5Vsnh#RvHG&cO$jL(<&6Z zOEuOj(?p!g42(L(lDc|*?ue+&cmB;s@cQ2FJr~)a8|S^)*efpb7M!Nssd#FOMX=3X zts+|ZMq^o7 z7@RG%X#Rc9#@-mmp@%|{uCAMPtB+?@xc}5MvWq+ARyxvL197K!^xX5Wr8k`K@$y*7 zW{Y=AdEy`OT5oFpRS0B5lqEc*>Pzpnw8a!DhDVo@!tbj1gg#5?z8mIt_iCj;g1}@s z7iWA3D??uF#LZDio?Sy^9)h1O5+|?KNI#iDytO6iR=ue(=eM=~i;2>Mcv{3Rnt|5( zFCoqpgvIyM%Z2XVEGsahIcNED45Ppx)XD%EeM)OkfEUj$cbCm}oee%Xw)cj)xKlUw z#`(O_UgrC{ZZ7ofL$I7Dl|?*OB7$!_orP%U!@2u7mph|l>pcIk=G{T8ivNz4U+8=Pm_p8jFPt`rgggR`35Y! zKd2palCW_fzIW?+&?>Q683JFZ9&@~{EBsLj)vGdZ+rpfYpl=DI8KKq!7QKX_B0e9l zQfP-wZRg9KRyDMc$f&UGR;|#iC8_sA%$D77a5;i%6w5PZuTjr$q%E1x$@N_L&>NfK z?(ihfHe~YgCd^XizNCY9>Srwe;eJfpz&CWAsug)(&4P@ZmgMWMH-{nk8>|(}NL8N) zaR?W_zdP1yx3qJh8bGwTB_Nt}zBvu$sJeB~)!!wa#YNR9$ zEYW{h+l}we)!TgMSOwfNbqybuhp1TFf6moYNcj8>C$^^k{_}e7 zAlJ`!-lnxF)6YvO>mF?%93mn^aqnbUR(~#dNt#Lq{xmM&Pcz6`=Tv(={ADOXIOYBG zJ9j-KLl2BqNp+aBoBb;7k4h@nc}QbNIvFqJ*~MNPjV==AmeKYOcXfCS5?C*(c?aNV z3rU8GfL9PRGZ%qZq?T05`+ol1VBN68Eoi4S?AdTt^xgH)JzI(ZL>u|-#F08o9Zt=G zmPc7maWy52HGF4pS_({vco>`OPTCogsCu#lhMnSG9`=yr!ywG`UF#IC)vvkJ?~|%* z*?oGiU1zVGJ3OtXS@sQyWr)J1_4$J_KbrZ5vX6-GQ7TPEUZyp)d!M#mk~rw6uh%}A z#U!8k#(;vHuJ~SdM!H2n2qAD7THg;lcBuKIn1WJ+;+y=vn!=CSCBIiwK-B!Jnr1wP z=ph+?fVSetV+bh}9FAghA|rnQPNDY`h#e-93j*yx+I2zn6X#N~kj;F+=%suFG)Tsk zV11r~Lg|0`N&l`nMB-yX?T}F*?dkmrP|>wnNL#3ewSfCMtv4U-DV3<@F2kQ$Zy^bSbrut5~O2xwfH zpqzsLe5ELgSMg7ZK1dwm|%H#OfNCT!qQw>V@B&9Q&Gs#_C*urR(wORax|T{hijRk9Tu8$2bXJt(rMb?N4{=TaOl zm1$DS7aq}9nBbRHU-mn6EDf-pdg>zEk&#(-r>vL{IhPfRmIM?lJao%D%m3iZ`F#RxW zC`{@z*85j+ml2c?(g^hf5SIqmjHyab5q}7Hm%?};m2}tPK38VMdzJ5T54`02W}G@5 z+|KgGWo8PzHT0z7w(x)bLkrJe$zn5%Li?lIqEx@>>C9JibfQk!njNpg&Yb2Sa2drb z#)$o%iydYqyc-Me|WVOSLeqP%_i&@A~d!$Cp*LlshD>s!l62@hQ zzfFgup&XeKc?aQYNX4FhQ#$pcp^+mFS*Df-lqIX-n*P|RC-nn~);^{urki;R?+zdo z7jx@g#*sfwaG1EuT@;w=`qP z+eMzAvcm|AgSvOUka8Gob2w)rLwM|A1eI93Q0CwR-Z)Q$k*ua7#6^EX-&_7LW)!Z~ z+%#O2wDDjz{$ls?xasQh4n;MsAG}~zHcKa<@om3>>S!zi?2Yg7EE4{Hhn~n=*_I3+${bc7CfGh7=c;EVF zZEi^7r80`E8XR?#0q#QU=_cXy62a@2Ob7(o&SBrGEsdRDUGy6$5teRJjS7=HJJ8d0 zuX*E1#*Vw|y*s)svzNTAZvI^I?LAJGNu(b+lr)h@l^QIfCkW$T!+c;^^6v}(ac0hM z3qKq*5PvQF&212UFozIF%Gv{BA!9P20xut1u$Ad>hVL5@i^odFO=%I7Cd~E03Q-A21EM2g5*Hq;v&Z_pU@D*2@}~R07YY;=*PkJ#{E&Uk|3Z#ytN9U z{Vx+SFrh(}3FKozpi2XWRk+}sfC2HV)0=w@Fibj>amaB|1I)BvMNJHT>;`JW14Vmr zfp9(a7z_>!L;mQOz!QIs!31G{kA6gj^8LM3p@@87K!$AP2gNdt4@!hmX#eYh=t&up zO$Z!Ee;P`RVg~-(aUd5FFatsL1tWn@27W`@d0+}4w?&{Nzv%XWnQ`oAY6%kSsDSQ_te%!Wf^LS7r2Qsw+9Va> zD@mN~II0i_(HIQN%g)cNj}A$=hU4Agv^>}G*w5Wr`u-&|wxd<1rL}t~XJlwO>>;a~ zg%*vr7c^WSM21wWg%sPRW1F(62QZOG`P4nG>M7_gU@&BIxh|OkQ>pS%F=jgdHQWu6 z)093b+Is(?wy5~L*T^8|5)po9i%Wi-=)T|PxbNl-l{qew7oqmIs5n~P;q~8dhY~g2 zAQ5pmLlP{N(0h|muj^5zsyF=mg)nOoI(>VVb$J#G88QYA4ZQct_sCV-?p#<|=xwHb zSs*t1q@v}sI)iRwZ|QtBKK93P~0&)vR(CQc?xW4MCxTv+ngiH5G!r%HGI!z9|A1gg>( zdlOBHX%(@SyV7^8-z=#1yBd)4hM#dLY8vEM=mrogm?joLLoP%UI(++yy3By4}4dEJRSH;4u*p@#a>j5VMN1 z^QHaX)UP6sh6^9+NOE&p?eNQo8EfL-WeMju#(KDmS>jb1!YZiBTA@Vr^+yCr++rMb z-AKX`YU27LoS3ENwo;N|3x{e4EywBeh#sBBW_|pjh_3F*4_ORk{A9SUJ4BXcB&pQp z9*;iyxCG8|C@CgJ?z`mED3nsX@?uI~xr`foz2dRq(6|61drlw*37Y9qYLhS%M@ZeSnPGN{WIe1 z@EYqufXk_afxa_OwEfEGPbrP`9==r$F=qR+`pP#f=3Rx3h=Ee?z!Xgs+rX~9mXZoc z$euEws4wKKF61m}*EmC&wLyfPi%Zq*$vSG{ZwW0XFmiF(k$6kehq zOG0nTMoRqh_Vw0~R@uDBYvm}tiF z{<>*}^AK2E$wzp`N)AIbTbr+E`eh43++*fYg{HN?OYy3D8%9 zMr&RueN&QiEoPobP`kn(vXU9L#@R`6adM4TIKInI9GZoE`)!ZiGB9k9vv2?PML*NW zIzw_fx~Ki})M6zseO$YV>vHRs0~gEbr;B*=4R!4G>~{AJ&o-lys>Np92h zR|Y%+pOEuxDEoYynyFbA%Np1onl*x1IZoD$F|lM}pY@XNWki6c>zi}E_vK}~JB;-% z7&FRrfiI&|o5vC(_+Oq?j#oC-uOP*YdFZx2$RNF~H8>PrHSDt9y#MUOoBMoz)(0$C z5EZ?DZ7GoW!=Q@BW@8dk!_jn&KlJ86)92%YDP;FINEUuTIM6SnO4#ocO}MytqG+BP(sltN2Ze)3;oJq(4g?TcP&z`myBuZ`v`w!MP@Z+F{-#0~I42bz1 zLIwONQTcpCYmkdzSAw3?q7Ynh32CGP9D3}1gS;z!vMT|b0f|I%4K1`OCk@K=4ul>9 zQ#ue%2XJmtpaqZwBU2!iPb76Am2kARcW{uBbOCYUa0f9M4DRG0F5)B!r2CG};*#JO z7im6LFva|9kO?*_XsV41_q38W78AcFx0 zriapwF3xa!5f^a>2Wc0$qoh5|-a!g=X5_38*u|iI^nRWZnCOUu`Kc(1;Q}B6QDC+M zK1R07bjZ>j2swv?y|j}U+`+}(3CyU)>?I{7LE10O#lb-m4tI8uM4yyN7}Wl(3-YIa zo)ZM*qLS1_K{qXpGWY>5H(*m2w+Z1D5p$AsflIrHi8)HcMIFE%0xm5r<|Hj`4|jC3 z2hys)-#=>Tt<89HVvw^3W~M;i2iQ6&K_h6^(SAXEr{Rtw4sZvUv$VL2q$5bX2HpXp zA~1U~dr>je2k?)x-tPqlvVfk-2n>6`MF65Ja0&odjIyx<93@}_2sU|`7RP@#Rq%I{ zas+xzaeEPGac2id;4CaH>EPhx?BwF)AnhatZsqUq@OPpUTq05T3O{mY7eWrc%A#me zD~NRZeUO0KXPu;L{f_3JNI_2aSw13AW_lEW@+WX1B@RTM!1;;%DkYL{7eWd|xrvIt zlsF)x4;Vop4R--K_V^)wklrnI5}fhJe@2O)1Mw4QA+Q8sf&^$~AQuHe)&HPA!O={y zpM&H7aqXzEFHx|!?G=WyAWwN$1A{1MS5cy;e4A((WARRW?e1rc2 zs2w6L2>1rK{qqffO{$Ucod6hlWU7-m0wZf&GX3akY9B2pwD&?REF{Fq6VNdXX$vm&pZ^vYiO7A}oMg=6kV@=G$3&?g9r=;2F=GZ%Hx;zNhILC%4EKvJ zuZCSo+_0VhS`1-?#+|Rxc9M5-AG~FoDtb!qmPuZscBWO}gX*)VB-hHmjv~J^(BPj> zoo#tOF3uCJtCE0ypeOxwE&JI!#X4c9HzQ)-EV89k1!SIlxIE;pt^a@radCsO;(h4a zTm?*FYN?w)%GYk?dQH~pXlLEGr#2In#R|Oh@U|>mYB*ul4aM+`aeFGua@n{H%eor7 zn>X%r?nVRf|u*l$8^E~wt6e>6$oWvE260-{W@ub*i~9YoBg@#6_>wx;73iBcV=1|QHZ{iArP*`nU0!=FD!(v~GYLmTx7N8!seZR} zd$eOOLpf$$HJu`NZ9~%}xk=N_v%A4`Pv#XViHwe>+44T_U#Gm)B z;xDCg&L|_^jwPBbcfD@f-C>e^-RPF7a5`%6suvDlk#FUvnP&R-ZNJFkq;=d2A`v=H z+?1q4%(Se7Y)zu~Cg(%i5ZWTSP-e%AIhZ`Sw6JpTVm3#eyP>>#N)=(3C#ur2(hH0f z^+y~%w!?JjyBP>P2VFJ`va?=|MZ=xDHAAqmJ_q)MF z#5gmX+#(+w+)iJ+A<62T2)Cq{_ClvpHx25~y1F?_y?R@(Rl5@4l#Upk#%(`y@a*&B z>6Z^()?VtqvK^V;qBEUwl8{-Bd4R~Me;5<^zBB8Tug_xnyZMUM`pX_BMFEwxEkew+qK0CahC!`*P0zPH~%&H|6K<$eM21`Y#^zmnjZ*>MD6mJ`Q#> z{lFELGFkX*GlT!D#lLz=wV6r#>Q_v0X?=>0(-~P2Qp;kil32-DNfL|T#xbb#Z zgc76l@yAbLO8{>*Fwh0KGzI*RB%+^(P!obx7brxJn`uwg)xSiOp9-iPSgA3-m@tbG)M|o>Us-IgQs&CwDS*Ilh#l z>^ooiLvB>!&CLqg8dHW3B6+ydpNyLWj7-}n5`4BjzkYN7Dw3Si8Ar!4u@-MmQX$&M zjqh6Ro>HNED}*yD?h5l-Nm<`We*+OVX{9ke19J;|R9JNA^2MzEA;g^nQ5EI|=O*ig zcgTsrq9iO2E}yZR2G5@-+Fk&RQ4MK$JG25vAYKT#7uv#lKi2L&jkfPvRE& z&@#3*4;D)=ns!B3X?tM{Clbl=L4w<6X|iFB!DD^1c1c zT*|V233gSSSqw>P6+#2{OlIL+MNiucqIdj=!FQtxbXd-k_sH0W-pXr@OnZ?UmY(^X zigCAYbU^WN;lfr(M7o=7i)zKRWXHV+)tj;OYcfUHbWOT_7Y06PVBvd9tY=yq$9x?L zA>tlC?V9DKKDPpY$tIk0>(0ZEE)wiW3qFlt>u?a4qxKR9(Zf#RLdz z8S1lnkGh-tgLgvDEZxPWevSX0xJ>;9(lj_Fu~?&2cHzo98gtUxfvs67+i$}^az5M} z<+hgoHZ1(Tr40Ju%td_O!lff|Dt?V#-$YZaXu7okPo}_VU+n>!?Ws#)YPVAA5YfRR zI1uS{x3_;TCMr+nsPl4)$FKUGE?LmZ?|uEtUQm2u9Uy0wz$A`GT( z(60yFidEF!LZ|ER_Rugitd%hGsaubJ@ReMt&hd0SwPT2sT%kfdsj+(6`Ne6pAR#U5 z%lK#ob6_ZI+{&KyQ9WV)7i3;zR6?*DpArx4VIfgt=SpR>X93feDY9z9Mt65rYRm_5 z)tnJQzE>IWb^iUAH#QIYeXrVetLZhh*Y|1zF3d!92`BJA+h%*xlstK~5&RJzSVD;T z8lp}IHSK5qqOOmSeVUi;aXN3&-h*vpbN4k~uP4U=?7{lt)59mS5D9eg4nS2DPC_dT2oLP-9GR znMlzY5Z)yMJ8lz%n4qK}KK-AgOkf)W+KH1-@09WhuKUy0lk&LypuSnGr_}(rRUAn4 z?AgKG_`C-uAu3!C$*T`VXFGsoDiKK-N~g__utv$WZ!DQcy`{A*;rVu0VX@v`#Fb}I z`L@l{f(@6{4xdQ!eC6Rzphs-$&54AhHNrRI{u`Nr8;uvbu=>dqr5okd8%yQJU)YsW zspsen&0C#GzJ-=p?YyasJ2$sTgsSFbQ!H|TbAM&)igxFAD zo9YoJzP2VRSGJrlVXCv&huMIh(~X&ca_%~vs7pw2z4z7#tu*n~xywi$D{9rML*tw@ z8XhcFZ3q4Xx%RwGV&Q81%eXVs1qcmOb6F98pZ8z&5-^a$PrfIx5oV`;%`V1FE!y6c z>*v1L-7%VyR3mtcj#%aTW5lP=g%vcDP6{nPa-n3gviQ-&`O)uKPG#Q|zxPb+vqDAk zR}8Cyn?wE?Fx&IegV&B8^W$7@+eLCbYdS@8d%ksgOV|499VMzO@ z4;iO(-#shK>3C$FqM&+IJbQtSOVQ@2WA(bM1Th@nIAC^}!VHu6cPu>Xv_x1`zS5V^;>9{$mjZ&aec6@O@4nU_@x zVkCrSJ-QJXy|}#Sw3e|~;=X*r>x&FRmnV2pfX)5g!L{im!a@6XG{8_nH~^z?+lzopB&D&(-yU2|<~*jz+tjJkGb@ol-CQ zk)O}D(e4@f(b8imEfh$7UC)QSmTsUrLcFYaXA03*;`rq~_70U;@w^1QcBad%g6RpH zMqGkMTrSMlVyA)AZgth+gOL2|Pm~deHeH=XpN&G>>H?PCu+pLJxbTHIxlN_qpwxe4lcS5x=8yJT6vL&Vf-irKRLt`1a5=hnddT50up!)IIhx zs~a&Ku>FcZ8Dt>P=Nn0vz=xeemW&}{K2#LRcICQNU4hyrnHa^c<#M;N=A^v5%ZFO` zxk}}zACT5-kL&*`Y{+|hP^RNDhK_Oivy1_T1gea&9G9`5Itw_OmkEyiX^x3pl!WR7 z*Rn_@06&1yp(F}wBt@q)f~2Uyk%x3`~eost*%$D0MAJjiSdy9fO|L z!v6y>3XVl#Qoj#t!9%}zDALF7W3}W^Y4pYa9NLNj)={k)^c0%HgXGhKYBPy~9tR{Y z0%_W#Gnk2KeXT7j?MFJxB)_4WIS>;h^uux|%8@F#cr ze*wG5$NJDy=nWM7B;v)52}%ZqgWphGG*NV>-0wTklk~aYAzYAp1xDpRjbeQaq4X#v zD+pCVMZYLX1F#wFqyDjfL#NXHA7>T=7PsQ!#~8x{BPj97g(kl9qohLxll+sb4c5IA z$*Nc)vpX^FX*B>dh>N1(Rw`f-0YBERp;SU(M+yRYj`O+#T%n{W{xu#{1PEo1z3>t< z0OGoDs+UY?6fOB31FKt;J3HNo+xYCZCrKhzc(l9Wy;cFIz@=F)LVR~Kud(?B2hJhi z=HN%c2^CeB%fE>P$TLo#r}Jm0y2EzG<=h=ds_^^m^K{r@XRG?I``l0|m*%E(tTjoa zTIP;t?(|GKce+E^BG9pi!#{zxEees{In42f+8~M0%;9ycBhu&7{@TdLdH2on2nFA@ zILB%XAGOR*m(8!I&eAvRFW7awe*u?BVeBTQBM8EoZ%c~_X0*@1RFin6eCYZua$tdy zB24*mA1-;uP@^6n#vlef+Ro&(QLg%}nc zd0$=$mZ_%WFJmhh4LY;zVMt-IlC$pU+&mlmedGKifeC*f=2L}uslz1Yv+P0X+RZl1 z!S2=y2{JX$=ST;~xwi0pR@r2c&zcJ(|YF`tOpJ{q;u z>)^e;)zv*R-?tX!1#2PPWpgPRLQJQ=d-{QZyj`jXxpFaG>75^|8FZK376d zO$?WdZZvlfbcNuLepo!kDXssM&+@?F5*z7tAsLaTp&*Gzs(MUsoGv-vz*xV7XyEO0 z^-Nxi$k)d+b9>+N&1XC85wY(Fx&Y-gH)EP7w|CEJbzx{RWq+i2TECejT=4%f_TGV5 z@9hIHZZiqldnJ3`b_v-DWkq&Np{x+%Mj=YJJ0vSIitJ5Pn#hQ(jEw9(Gv4cat8>ot zJm-CX@A)T(>-(A4=h}nVvb3>tA<5jbP3Y@|a_Y=ed%Pw)uM}*R)Tk-+GHz~_$5DLn zEhNu=efG1&wYLws?fd2}kH%MiXBl3&DDuD?h9V;-0*1J zq1lrP>PKxo%X!g*EM;v8GMTqVMs>*TL zvae3kTGeGL*tv2nF$>F;dYbIG`;42|7*@{z0C@^Sjq zr8gM?N$1JQ^;Z%UJe5MKWo>6fx|i1?)bH_kW53OD&t1G4n>{d}8F|gKNXFT!d84jf zaD9FHlmq!0`MVpGLPrivB61pbY!gVrs2z$H5z`pJ?}^Ks|EIYDS}NfZVnI5<*&F_r z%#dzNxCl1n2i)KO!!s-)julhoPN4mc@KOM(oeuh@q33l!R)maHfoH`c`hBu@pglO z*l}L%e#m?Am*+K`ohwea_yv52I%eMxVhZ5Q|Sy`7n7 zYS+XFH=d&)(y+N%pX|H)F`dqLjq3vErl0-nm?>adc99qo#3Wef z@?YmX6>h^DzqlGo#BRLw-2nCFO(O7}z3%9l3JeL@#LGLxRpd;f*v zVUH+9Rg#Idyx3Xc(2=MN-r*|K!zhcT_gX6V=P)$oJ*6?K+SD2k%VJA~IaSXbNP0qf zT}KU-cvsVV$$LDC{H2DpVvJW~czC_|(X~&G#pc+0rFq%v=eIX~Ta0UNZ^f5o(0mRs z@>aWfoqkEpQ)gvaO8fQln`QBWKpIcwhLv`y7I9L;W*5(H{0{z4;yThg5$(<8vuwsu zBdfcZw3__(U(FtyM!V6opQaU7?}~5~O_AFkYP}=7qTTyBlE6?dyn2`!l~*d$N%?fJ zh@)es&$e5*?d$3h-N&fXdxRq5IaaT(J{$GYo)$B+;2kx!x;EP(otfoqujYOD%1F4> zi&C1*D8i@GcqjBDCFqqnv}QeGt~;fyUkX-esl$lB{Yk>2Z{T z-Qe@_&=YZ=KCZjgrVki6#Sq#BlIrEylhd=-dST})8N6=#mt3e5n=nv{^OO$M+P+TF z@M~x8XZSn^He|_x*}>Y7Rf;C=)TtD?GpSDq8QlH&qh!mQ0DR7Bn zl2hTsq)9Z9>U|PxaIN2+&lBlu6Z3Gpix|1Q31JjfsMeBum`k?dyOMbIc5B&OL)=n-A ze^}nyUFsWI53AH@%XR4v3;*PLl!M)zg*197Q6F=`KJ2bt*cG|p+VBX0w)?SCHbK*x z_Kz<{W>@jX)f|e~NC-Zgn{>1^*Z6CWy>L&~yC1H}GK0sns*OoZR9`#taLJoKCj3fB z)Jj{m2AOi3DuWAitG%DUzn!co!#5;hL~*E?f=HY5 ztZYzT1LiQw&fJ#ObTVI}@B2*gyW2-T*uAnpLKjW!v{=t-EjN2ObU;U+ru^`&6TTf% z9~)nZ7}UFVGul)b4{aqio4z|^nQd+*UiYBn5PeA1YV4#szw#0X`*{|Uq-UMFs`k;r znx`D5OvWJ-u$Av-55`fpOY*(ZK(SjO(x^a}6H+uxT)z#rFSV#P>_sX3k zQoYx1>$Wf4ZX5ibT_Ew%IkTaM{8X3RJ5EdYPc7P49$#b3ue$b*)6j1ExVGvKd)3s) zlW(qZQgk!QRXdNq3aVL}aqTfnI(+6U0jBfll;(BH@=kkEMO&V`0+wR$P8IMu27M%Y z=3uAyiqz07gn`vRp#voz7TToeH9~+F@Z)(Sp?*ZM0-uoap)07}T9ch>eU$9^@iGHy zg%S*JhL)qW&0PCyNu6_JR+UL^^n3i)dsQjq^n1T9Ci4lbB~{V?z#JGARmKi)LM$%6 z<38tP1n%*hJN_Na4Zp*cV*krh&vhNeOoAh_k}^2PLMx67O#X*{0N=!L4GTg95-!5_ zKmW4NZ2w8YWgwPFPF4!XV9alzDE3MC@4|2Y0SKH{66(|dQxO7{;J=v4zkGmH9EVl9 zECX8$ar(m?{sj;X9lgXMJO#&Su1f94FvB+fcd@{%L8ZhP(P7z~|38*0f%pleaQsgY zSBm%lIs{x&YK*@TE%t^3SO7%WP`okMq7GtPRS6&rrG|j=-_70ELFbkL6AUhhT?*9c#kLS)cdGMhMi)?8|=-MTs#^cOX&Puxn8l_Dn!miIrr+< zJ7aN*zrNQD<_Fsl5uWSm6HICj!oRQQfdBRI!L+Z;58SdJ1>^=KS#W2hUs8*mug+Rs zU8@t38*8o-ks8}L6WjmocHQ@llC2pmQAU5cAEul%g%H~^)=201)qSn*qu(Xr3YwQO zNhf(2h0A)H*;HtDEm3LC^nPn`=8>mTqq(2UF7W<}ZHx4e%&T}%aFN}IE8>b)V)9a| zvizm3@A;ksl4=(OHL7^khi!e!HIsTQT*QAKEX+Q2W{H%4GH6ykNAnc*={+ucbvDwq zc7A>glj<|0-m+WSeH(5vl;O!GmC6j8E7Ij^++P{xb6vECor4p7yXz)(Pu+<65K7K{ zG@ux-_QU%z#`Oo|gqJ5t6UxpAhMs(!W`z3qT6suybx}$Dp^+8-kEidPecD{mx2BAI zvgc)|eLYnSzP*}@xH6ExhRN7d+YokakG4)P;5)sNGJKT7YF%(|`CzBclLqC<_Sge7 z_lTDC84cbo=0>rIX#UVL`1$(iyion}^?}W!%`F8kMJKXWtDS;Ux4VA`vOCXtcFeq#o@6qE^zWc@c7?8kOeapXz|EPI{H6v^j|&zzl;P0l-yFdiK0X@0{(Rw z(jera<&ft=0AY^*S93S0cnFUI%5&*K$+I#sls@*VJBseNa)676`wt^7fW|D&h>-l& z4Y2n;{v4l_Btqd7_6M5+A~GnBVgMN$!sa%`QG5iDz5-neX$fQ`akaA^_1)+dXY`-< zI$(XYUCa0VVv!~J<@4v%c-#$S)rGaWnD2@*hS%*lYMCECIWy$%F{br?5VL~83ncST z9Sj)jctyWAs#)(g<|*p^hKQ7f{UrTDN&t$YyDZ@1`QS-BB?A3k&hQItT-RUht<=v@ zi+O(|${+5L8D8i5dEx!lK1?2#tJeCgA0=n&!lN&uF+{ezZWy@=$_vTL*RP*e8oNbG z^_oVpGgh1>?9NnIjBO`cc5REr8P<}?0Zqzw=1@WVQ*&T?t51el`h(A^u4RE|L(9B zPjp0cJY0%0L1^uI;TI_#{BH)2K5kO*N}6jMj=ksUJpc1k_Q$|}^2z3_M{eto-beZQ zY{sSiOkeM@dq&D{XzVt2*Z4CnMyOmpRN2JWnT6@94oii#jtlyi2-#UBMt6-?@pq0| zua79Ib`K=e-({M)7jvXr_|Yk2g3+!kpIC&oX>v8Hs<+t>k_@s34)5G!na&%Imm-(> znEAs?_@o!bYfjc)8(qeQx!Z%zTaY3m!|9qJND_5g@O1AfLC3D>qY@NHW_d70ss8$X z%~!{0YyuCy<9=0k&(>$$M1wveBRrDFQ6qRlTK~4Aag1xm-W6|bvo=|HW~ah8@)NN! z58eiJFE`kJvM>I4euaAQWJGnu33^dFqB7r8K?_~}u}qqCX}lrMo#*|tb^9hcjVqt< z4?KCde5Lz-rKKh6euheE_iLWSeoWLN4~6hh(7KZ0V62env~MX(sXUp)u@}lsn)U%X zb0=0c%7iyIdGC!ZMCRx)7uHEr7V&@BIK}^&jQgh*@8kCeMp&P8iHw-cN|Ds^RUhr5 zH|}xQNH;G^G)=O#?-8#3%+a2fytiiWWf(NOr?~YYw5CGnqLYuj`sVWo9MhJz&t#o8 zZt-=N9p5viBRA1w6s)jn>mCXiGi41;)w^E$-b->C??5uxSY|_px$j@BN?L*Z{dd!Lt1QK&VFDO zql5%lumq5y{lEV4!j!9BM9C5GOF%#_@&^b9-mj7mKS=DC+Jhf( z-ypw{#I~fMT)=6=<%u%GCci|H(8@vsXwVA5AdJ|*Ib|h5dB>`hpjffnv8cn?H=Za% zIvJ$vm^i?o!8`!OMh3EzAb>Ri#e#i#4}i|!f}%}Sw@@VXGSF-cXzSmQFe+5eDwGEo z4GwexKv}^dFDn7VK*bA5_!qH7L)0&)3^+BUu!VZiz5A6iiU>Px0%M1G!PvN!f{|BL z9A^K$QfYYzrpCTfgq8k^1(A={`_Cez!3zTP?5{;ggI@p&h@3V2v)&>AWrjr{hHz;p zvMUA2#mFKg*366#+Dy)VNO7G8_r6HtS3LG!c)EXQxGVnRn=EW{v zMzP_GV_SSsmVW?|PB74|?XS&28C?Keh=S$f_I2|%N|yze0Bu&JkmCe}9WEC{J1I^n z2*sAge$fKZ8_!VGSTbLfE*p}O2KB%IPE;Jy0we%N65Ik(NCP-%<%+ak#T^=M#VPOw zizLj5RC$Ej!0-upU7#VHBrrTFsMt6RA?SaDOZ&kFksxO{G%4_Z00hq8AO-dd5Wqws z&HB>sI}tL51Nnr&g9`xZSR;i-_K91&ELgXtq+pw9Y=a`4^-v_--z5y{G#g~UA-M`t z&{$mxaoE5dNRp)#8a4{Rp#Y3Klq~>e{j*b8t~lbJg4XqtuwyCcWDA9}&Z1Zez=GxP zkFsQeU#Ss};62j&s0T?Y0 z+e2d)k$YKifc4e}zH>ALIhxEM#;5OuDaKJ`^01R?Ag2j+m2ff?@ z^^xxJaPepvX{6&WZe-2~7sEET4=@<{A;@+Nf7@$4FU04D<97vA*YbO1|*9Bw}6)sP>|X_ z_%|pG96or-{s9UCU;Ql=K3R*-%F@zg;;DAL6V zvCTo<285>IfExiAyWoZ*WI{SkBlra=8t@q)*#4;@(8xJd`N27uh641CH=F~mur<;G z64FDZ0m~%d)dFagDh!PAhgHl0C>ydtBt1w%8rdOkgYr-S2uXASU>ps0z5~E_!VqBC zHath9o1--14g>`g9E|WSvO%m%9*m45*i83f5%sr`MM%Q#AdvtX6oUAQ{EOQiU@yx) z#mq-QarY(xlvor&X5v%q9K;eK%X+cs1P`C~_4CI6*;F%)y zj}?mHy?=lpE`lcD+=4xUOI!kcw78>%m^2_I*x^{1T`(SY;(o(uW1YE)Y(QMyL}kTn+&T!2qln{{SD30V2~H z1_B1)2O6P8z`dnGz>tA=FNxzPHnFHnY{({n>mVVEXdv=vSRcZ5WZ=<5tq>d=VLS^g z=U^Q0K*W_G42!%Hw4XPUyDF#Rw7YGw% zaEU^X931(?fhxo!<03~3_bLtBgL+;2rvtQ^^wL1OAhYYQGmwVWKx_d*IS~9^z#{njdsM{R4<@F+ zMunFN*-|*l0VIhUi+%KaR2sk>Q7H(x_;a$-pdP-AfnXfKacZn+0>DK+0GUh*hDOd9 zjXWR3xr4NhfhVB~2!q?!n*@{|ZlQEi$U(s4{WBySiYOYZTmsS!8hbhs;RvuFI+zts z^KU44V^D1yp+NxlBN26p7U>8NcMd`dU=+M9z$Vx)2nC?)V!e}4m*~*&KBPfbMPQ)l zHv9`Hgnbo?Vk5%k>0x(LQKo=!U`GQEg$52R4~L9~8$s&2BC(}15U7N3%)|ek*Cqko zkjw%7hO|*6bkN%sa0dBO7IuxiM|cr2T(&rQhL{GIx%ZCw=9G^JO)dM33}p0jEyuzDX_rbL0$l!3atwHbhL0a^Pa4-o^;B+dp<>cHab_+FNyzeq zpcpz3g#OD;jQr`51xgT%H!%&$4A?#k)CmTli+@{_e`oXona5dE2TZ}NqfC2y{oG83 zNZjn4cR>L)8MndtUei;&v}T=F{PqXm$UWWm?Z)N?Y=nh&t_0oh{+u9h=hzzfihxtR zNc8yhk2oR!yJ2n&x7;|XVjrW@XfK)Ki4htm9iI4JO&sfdFUP^}5gQwZ*~(9c;kiz$ zcgainhj_c>uTq^QNHN?F-Vw@IyYle^^P`94kD&=-DkKdPF&RHkwF<+w6qV|Q>kL4m@s}K8DEk_w|ZTocJd0j)0*kZ6&CqCWp@_@dVVqdQadN}Dj&3akFk-&1rBD-To?EGV*8@&D2D3nbc9Kb3wa2~LY)p@{lnLOhTLqu?qg@J z+}`|FaIOeb&@WSa>Pi4cHFC#ogZ8>0(*#yORrZ5xZLh-kS{r|=Ul*^xuB-SB^qrRV z=NU)i0z^OCOt2_*hTD`_NEKkj*Q;N!D<-#)#IL>N=$(7s@1gj?c%yz=H8iC|Jbg^6 zib?=(Sj>y-I=`-Fn1DfhfW*c3^RHz zZ!l|LtcdyKSns1WY~>zj_+)OgK4)Tsjp{*~RFY*r)tj&}<0s@^p`v6vjDk&i&irD$ z24$YIzg%akEX(FFyP89hq(5q|$>-xv+j_Mf3aYyJJFKSS^5 zmB94BTg}4{`y*RItxho7*c1U%lSRa4#KHl^MWsPC!=;O03td1r2F_mj9Lx|PjUc8Z zSaCd1cmoi5-zb381sWIKbj& zr$?CUl_Q|2GGGt@ zksc>Zp2+|sScuL*VEUi4DnJ$63G- zI8eI)2mdDI;#gD>SR}wkApo6s;?*NYN_xw^I8X$R?e-;2d8#zvp z&_uCLC4VMIawugHbIk9_r4V5pjuIF@&Hu_&Qn=1kY`@KBAf$t2gy?tsW-}05Kr7kT zLU1;sGbs?uH;Ddd`8HC?}y=`AyPIZQogA!OyIYcD_SqTxj5YZ8$ zI>FosCyEFLU`^RaMq2d0EiS4TUxx+JbV2Dv#8(-Je8Mdb(W{{30FI5*qNV<;mH<3z z?Abni9X7a7aYS4KVOa*=4I-?FBc>TRbC6jOA&VHjUy3sveXTocu?F{$EKNo8}7({(UD9rUp{j z-&X=E0a6qMWGhe z0}TXJ!bawxNDhN>77+KZ+l8bxtezo?o#tQGi-ojmT^6W44aO}XZa|aZNg`%Hu-Sqh z4weptbdmkFSgia2iVfE$^*1)aHG(+4kM9UJNFs9bKg3KTdE|_+T;IV8{~`^gjNkz= zEf_69*8U3*B!PB--x5e485#!?130H$go(Y&wWq#8^uqP8E z)-7sy%J?TiWq9%uW<&mZ(gzg z#s4dY$ly9b;J6`Ty+W*>zj+68(a<4d|IGfp1+0iJAscQY8pMKyi}e395!CjJJcVK> z-rqL1Mvssg%WeZLD#V?kig-jZykwvP$R>WzfHObfCZPS77Xxeyuz>D2TZKqN9OKxZ z3@6q9zK(uxLgpAnofFs=Fq1&7Kd9$|5TM_75`?9L;Sy(EM06{f|4wxT!2lZ}jsmx^ zEJ*!=bl5*_Daf%AkHL`(tl@DfijhE8uKa!#N(mo3SB)a)2Q717D=M z2$4_du~+E{pAm!T1D{|WcZry>jtwX>YP77}0kM6x2yAHL@I0w~2x*~#%YMz1aCai3 z4$LnZ5xq!avdcFk^rGe>iEaHKUVn9uqO6T`K%kxT6hD^$CpWK1V98xAQmuP;Z!V`S z9&g=SubG#h_wi_1+v#6-@1Cg3pRf3KQ1@=EE&s6!;sc>{hlxVp;~TH)3Sai;<{sgs zrk22CzzPsd6YMzpT7oG9L!cgj&qiUIB zYHI!$xVek=2-3f}DofwvBOvZ1!FNBSB}e=!Gt6~ag@={3utIXrn{esmrhj@-QF~zh z>wu5>&Qb&iR0vkNOf@Tn^0{e82&7b0sGXm;Dj6(%Ja(b*hHGP^m6a9eJt5+|n3Ko& z7sv=|sZ2FfC0J3KK8?1otWl`>lNQ66qbU3e|E!0kpE;_@KC({}sk{!5yF*TJK=Xn# zHM7xI*(37HSQwXBXoXq?b&Ghv)FSO@5I#B;f58%D*a9)}}TS5Fj(->}Mlg4^}v^cgkt%2l3u4hIZ$2 zlP=ZJM6fHW_eZcl3Fpjk1&2Qe@dz2og*NsDy!yS_jR`VN!2@@>QcY9Y`tbt@xA~5> z94y~+YZBoIUmy-tckZVl3ftb={`G-aQ;hMzUwf3Y zZ|H_cmKpqcUXal9;rY_)5x?IHKL2X(o(abx)ms(2<>y$)8^~0cCjEJeBeMxHT52N^ zYyoY`w_e)@lL~h(QeNKu*6gR%GCJGJk+%>RPsI9l%KNv(9Y^|&I?bdz72ar&g`(n@wwK|^B(~e9rf(dto=8T)`wj`+{ux33|lqqrXN1U5^7uf}o9=ZApN~Y%xkDkeBU}DdL0Llr% z!h3gBiQ9Og>BGFr?5K9?^HBT;YBnxY{{fHg zJf=H&Ee)ONx-4hCzKq5sh$KsYSBQ2xCbsEnf40VlM5|QLoeG zO6#(>WXt54WQC5sN{_uON1!uiwHA-je*f^wqVb&E%1ZuszO?N0(0j&GbtJOk*_hAI zMy}l0CQQ&0OR{&*owGYqIp^jxc;KdIYxNwjaiZ7LCpAgcLZ41_3f(A0-B25ST}L(G z(k@hYqB*SmvGOG<&d^bDvZ5Odnfl*EUCz2qT|7*o$S>%iGiP;u+;466qG!}6q-|qIgo8%a_%jLOam{0m~HCoT=S1UusQ|~ma{kWZbCUwMfFzw+7 z2ipkGFLiO#=5rhCK|yI9OQRGtngqqIKlo34U%zX?Z`Ra;p{KDx`_QQMpPi-o`6d6f zW#{1!c_*XY7^YeD7pBg(so0jk7yshPC2XBHu*icwBD6l$1epuxU|hXwBZyQY|xjnmGBODV>p|CF@?`nEpV0QOVh+g(Ix(x7{gj(~WY^@{GJP z!z?Rql*RY>d@0lsqftb;{@k?Iz}Ta#VEl#TsDZ4Ax?akc zL|>lQsd_FZA!tSg*%{5~VG;Qp;hj&n#S`w~^W0voV;eHjd=Ret=UtwN( zv>3D}`beVL`HJk#%r*#?xRFlYIT zx4!@JZ9Y^_dGca=h*#%jL;Y`I=lZSk?@nT)wGw?-sNNXf)3`Zwhb^!Fx6)UdBTFIjkEEDc86v zHa&On$^6n^o#E~xG-}ciRHu3=edu-HVV#B>WqIzN<^6g(1IC^HowUbe+B7?E9$$)!eoz46{%&(I2k6AxC!KJ`sVKJ(2 zv7r&{EYxkNiBbrsQ;qL8JYLwW+P5gs{pDykW$|fG`I9Vs*`FRJsmB}qN@!s_;IMkV z&Si7pX6iM8mY26bZV05)a@Q$8(!Ch9oK;|VLlZM=_Tet)x{zksT+Wy1pA{dtvMAPd z%x$D4{44d=V=cTEehk=`xVLRKdBW=9_y=re8a^By6 z{bAVtQ;L3$d^MlXGa*;c&YyHOSr1wReFC3zb2+(PtN(CQw2bLO*t4;Xha=xoa&&xS zM{fQ2YBlp^Wq}`ywmCm_n&q{{>A7Csi(7iK&tqaRcg4K?o+`$!k0g%C6k^*?YTmEl zspt^StWMh$)Kr_c`i5^7%_ddM{;Io?II+IxeaY6fZ`?Jz4c&|fe&T66RV_LCkn*LS ziy8@U&b{h=nO+%xLR;I6z_?Q7n09je0VCTZ+Lkk0D-|AP%?>{@pO^%!YqNPXt+}3C zR+l)JK!G`bgqmKtHo>aV?YxhdP*`k?a5ydZt%oLrJgouLV-$R5(;i~$q0jW~vY#Ac z6K1P;T^s${ES@XD+(j@|V9Nad%%$5+Y>(6DT^V@mG?ZSDVyvP>yZu^!+`cq<`Rh`( zY@IvvjBrQz%u1_QaP!-sx@xY|hs=Wr(IKLQn67VkF+t+b)O|-Sj~Gy`O4Ym-88>); zxcG;LSe7ovaQ(P`kX^41x+F zc4DTtxq3BMVn?QCGL*vPs9i$y1GKA1E=8b0=DHGvI*lg3g0{@DsxXR=jJFPcZLq5H zHW}34Gy9AY7wX-qB2Is@8P;`UQ!x_Q=pHOX31Fu1iPsO#pKitAp|p}YsvRqMew(!vHBWshDs zkW26`wXVm|uak}b$R(S~39cq}#~g)CAMOFd8)w>X|HRP5>A6{+bSrZ-=vZ32jQ!H$ z{Ha@vzmzOn?_!396=r=ng1%Z|%iEN1gZwx_SBYq*g4CxZ3tRVFOUC*hD|LtIGBT?h ziJo$>6cR}g%b8r_20_&DJ@bW z;yl3U(1yw&wXv{j|2dElK7TlQ7`0$T{h}&}$8qh~CP(3i7nE1emEfs`l9UUPa9E7T zV%4)%X?pZJ1U`+kYcm2KK~{) z#%6G)RSsWUw_!tl*1-5R0ajQQlq%DzIxuZX7Q(mN<9O;LL?{=Aj&kI0*PoFx7FjOTM% zFuYG?c#<*4m!eX=Phlq%`qmdoj;XwOw>25nCA3N;nO*ww*3sdz`$v76ecy$?jn95M zWs#9uL+CoC#$9z^tS;z)oo@k2W6AlL;scbg-cv=t3%^T`kycde7$c&T$W4tpoheux zlV$H~m$<7>(8}sD!A<$tKA!Etg3;nsf22uARF~V^I^#0_zy|LRwqC{YM8U&Lwwvao zO=X)`DwJxLPrSJyUztDdV)>DzeuaNcdLeSl&m-&wPry)9f=mtP!=yxt53iVR*E!_d z{3so8eH&kUI|@_HW8EyPbfrD>=JsPWEAiOOXnhI9tN+gGnIaB`d&L6+;S?(-jz~m@^EoK$*HlapoVAZn0JQ-9=u;Ry6q#sntrMu zCDnYPosB+fz7@}UTL0YoM5nIoX!+K0{rT+U>Uwi&_X)n?6H@sHl!29+dOrGW zxcx2bZsqZ(fexye!QOlykJ3rEbN6jbcBpT!GAD&h=$uZp7N8i9lolzw@Nvn?(>&CF z+?C2I02P;UehcrMWq1*>)zU?YHGKkZ+3Blp`K7EO0+;CZSfiK=gg+SG3YSt`wOgNQ zK%bBuU?jA#$m*!DlCrY>QW3pdGJH`rlYY5p!`4IhcxhrU7LzFYR+dSrke5bCxk12dAp=B5^b$zuqpM%_O1p92{tJmVk z&a5Ai({W`dCSBL~Inek8l;t4;hq2Zc_phpIJ_`2NXGZh>Jao-;4(-gT*P7={F*$P6nyX<|XNysuXL^0NWAy&nl;)Ffg?=rEQ*G>yQZFl<-Ml~5 ztLRP@Xcj`;xL`|aEkq~XU9~W`BwIS&N{1qTaj&3@o&RR0*XRJ{wP^1joVVNy(^LjO zzsQTw&SqYzMTFff21eN-Ct6RQU`ZY6^slTKVPh2H%mQ&sG?GTyv(8&w0)_^F{-K z>)P6#<*SmXrc_plG5%z0^e@7@u5ULzYTq%m*tj`#R^pLQp_v77tjhZr-;+(gu6&u| zet(3N){$X5Bh6ctER|b&T!iNKvxhsvV)9;+*@M<58jai6-#z^PY2#<(5zhl%&JjO4 zwswA9XkxJQrKAe)C=XbE#(iq`kY)|{cCzZ((_&rcms3*S+)d^%#at9V;;7nu;=Qhg zK5crl(V@FdYB!BCUtpSzuXt;v>BQc~ej_|#^O88z_b|cuxsRsgB0rKSvsX)2KUJv^ z^8d7VYW6kQ!;_q;J(-3VVjf&Dp%(e8)P?C$9*3yLkr!37eh$;6R|;<1{TZXZaW{?ILfQ_U&U|v@W4yMCS9^2!4RXu) zh}Ea;0Jr2ku8}o11Fd<$HJ2BJ|Dg% zl0#GJdPqq-BAPZmM`qs0^3slTa;u%l&v~8i!j$tAw9(!I&7?^$PK@Pu2aVngtUg$A z=4SB^o_1IOV*j~wc?4b{N0+%lO9i7zlF%L&^LL; zKc_x^E^~d5{A~U5O?1VDo9_?34Bn{OKK`=pV)2$~W{uKLnZ}BI#>WvA<4=9R=u<8% z@jA+P+d0L)Jm+)?n3?=o-PyV~L)Y z_O3)`Yj}oFkY{2lrA;mzA0phn`C9(lCYL3Xd6@XI#a`1T>bk43ENC-}{!Ocum{}_~ z(QUR|tpAVD_+P{$M|6JCaG&3{kI-TWTRY+Zl>fH8mbl)wYKLRZye7fNAAyN-C5d{9 zG$%bEhE^~%N0U6a-?skJ?R|Ll;;g51jxpi8Hwrqbb$Y&Sl9GxF}XG_g&L=--1p&M@1+4931vv#TG z+oVM$kLV}IW2I5W{<_-YuO2BrN^dN14{p)_QhCgxK=pZ{ht9++i@}o*97$LyM>I8-ne3 z)%`zSg;?!uKV4VxW;dA^T+}SlBwE(!^!}+c>FOt?9`vD=;3QwsbZ@BJFKV83t5FIO zO_MV6KGxRtO?^gNnW;^JvjX@DIv6iv0_z$_{%NMGS@~W@@rpwEbS)(Bg@|ZO<7!iS z&X_Ruw{MvCMKl!A=krq%Mz+`QeUIig=l7J-@gqwTd2zfUG;62-w%rAt4>|{X@tM7@ z=Q}jc=(;<-dR{d*MWtM@*q-MWc0+VX>tbU_rsP-<$G=oDPO|)}Q1s2zh?V6FZ>GZbku>pI6H7bxh^GTC zfkX-*%WRb16=*4M$)8{?xiaue>W|wT+4{z(=+Sh zLu=oAu-9G}NLFyf-I!!knEJq(&}gPshQ(hv^YHKqbHkrvbGiPh)GJixwPtzS)jqwk z?g!M%1}>{OFcF-MWq3;c_0q4vH@iV%bQ@aOKH{0reS=+o-CffwU$(irpUj{>Vz6hZ z@E%xyx#@f0yQ!DV&%Z|~ww!42v-XNdthM`W zp69cHk)y%%%Q3%-#`R{=u|r;~it!Ja!@`vBeLnUn=Ha`=*WFhAk!ifSl$}v~lihx< z8Rp05yJ{xot4AZm`Nu}fmOPn%P1Cz$lFx|;pV<7Me%%9Yq)L<_c<45*@P{f?DwX_f zoGnX6MOfeQv_Wy686s9=x|Y80Vq?}yc}Y*iEA}>N_8v?)n9epWg)~Pf201j4D<*;P z@i?8k{jMjflY=#HNWkS(xH;>CIx?Tym)kTiT>7Sx9v3PYZ;I$Y`uS-aZ&ouRq~ z?));_!@~T6-fre9?+49wk81OLCdk@(e9wAm$;R_t%Us$BR&3ZkQcO{qTDSfg1=!;&d-pl-$%OQ>v4`Xwt%l%}l+iv>4h&&E8 z8U^>*l3kw#Z?t`7+wSTQqr0$V>^$bel<;m&CqAT%C&*EVHq^~^)Kh&;^zn24@*t-t5`6IFdIxDXHTd*_~7gz!N%6(`A1nz zD~bm79-r#Ikw-mvB0pM&&sT+hJf*Qk+KVD0GK2BvL9?aC> zh^8Z+VCtO|s&Dp|={$0pS$|jQ&2GZe{irbrE&toQcTmGXPh0DxkgBesnH*MZ3q?-_ z;gKRp;ahNNN^{CWd6YzYLN$CD>>xcM6SjB@#Y~JVD`tS5!zX6O&TgT|uyPE9hX|#m zAV>(DfOLd1lG0K!oI-q<7ZsOHA6pjlKB%tlsPJ(npPS1MC%SsPq8k1)clPx3Jn2k& z!*;1={;|AUvQwQBdP38>yEf0h;Jk6(+FW%~*lDwH?KiBK4#X1nRErl%6ffb^zL-62 znM|j=wsbmIS?AmIgkThP6~7sOL>Y~D40Fss9E)WLIKWBl zy5L+?R^p2P#Xj4<4T=WtJ{wD+^3QpPxwSiYv#hLyT|6{ze zJuAG=%4_3Yd$Hr6w;3=xZM$d{f?vCq~sn5yLzcY@vWDP2}pN5$PzmX(6+ zy|)d$Z<($-G(33Kv@828;nQG$eAgPO@ZQ0&2em|`ubQ@;sw?weV>bHz_4e$kW|h#Y z>)5-Y^6$TQp==J7V3cjn5>{^OlBMp{8zyYl>yPdchwhmZ?m9)S@9FmM<+km4+}pEm zUB(2(-z+UPmMZ*p3~#T_1`p%JNTtla(8_kvVPs{GOt!GNY;{A)aibvM{3}e%_FhAj zi^OK%L292*^?@%Xi+B63&I(_fJtTFm%tNA>!1U3#-Pz9i8D`AdxH6x)gU^t)`rg;m zcr+|R)0o8^bF(Dh;=O09kM}(AXPftgHtV@}?kn7X8eI|`EA<*vYq&?3xqZ%u!JRUs z{>`qPzyZ$Xz0wU5RU_KbLkkzSdGzmKi>uFSzQs&!A*EXLa<4I`4>Ev9%oqWt4Vt?1oK zui*Js%7`&^NCc1B){nvytmcW|jfRZzqbP|iFf05OL;XImA!?&$R-o3rx znPy_zVq4Vkck`dSJST(uu9mkmx3GpgX^2dfnRdD5?W*W zGJKmXv_LRbKaZ(NRnurhRg#-uU&xjmH{Ny)u@LNBa{l&C=fJl1xg+L3uMj7iIX%PI z=%!p~UNCxm)91Rbo+)v4)|Ur8&e!7uEzI8)o}3%goI7iMXx9AAmI-&|p+GJE;JQQX zgC3DONsE>h9&f&lZ>?VU?{4swjr+V3B374Y!?5YgH(&C>7UN3ron%ztvX5{|wEfkd z)w$;IXXY1jqV8jk_Fjm@*xaQwCh+!gQ`mBw=1D~Pf78_C$s>F~9QoSR!p5!d0@I|x zV7sAGwL;(ambpl{mfD?>!qJ}0gt#F8Z0|i2ck7&MGRB7zE4MfCW>~?`7D~5rFu>L9x0U8eRx zJ+!L;qgPZw{pRpIrS=n+L$MF9v4%b?v^+q6@QEi`4gS}yCnJ99$vsXN=+2eOB`5Qm zQg4m-e0d#^^9Z9dr_bPVy+A=?DkI9xu6LQ&*v@G|Q$w=E)@?l0yTF=rG<@aVal6!r_upcd@T1Gl zK97GQ?=DZyEFmZ|hUut%{CF#DdVc=sNN6#))g{~B@;7mL2|s6#KAfC&0BGB2ulUUA*C*pN$>T=`r>eRW8bTU5C5V6$NZ+4CFOON^1}>RWZLwL3k}7x7jju| zK2M8-+Wv1}r%Ai3eo8tWnE1i@6gqa`e4hK3Rq*0TFY9c^$dU2kT(QAs!X1O51-<04 z2G*Tev0t=oVdLlg??}y!rbbFEz4naZ8KYMHoWLlc_jR4(8t*+4k1EBm zw9nUfIf$=B&{A^ZP0k+_J$vA3l+AAKog6RSo2Sb!Ocx%@dk%@Igx{{EQz&59U%!pLW_6%Kk_hIoYFsmv_+d_Nk(n z)4rXm{8U-enQObt+ z+6$S+vfVwyH~oKP+tZjjy~H<`t2^(!B$?H~SW7-}`2Aa+r}89kIaN9t_YRq+6mI=A z(pg~WW?i8@Yn545W2@xOxw<%Nxun5-SxUMkd@Sw72`O5X{W*yj+=4RM7=K0OELWwrFVvi#Bq?rS^vkueV6q@OMkQL8HQ~uq-gAq4 zuz{ZF;6n*GzwTE(i*V1qQzln2s)%Yn5T zh{Y<6=f8NPY>IoA2}jr2T2EtS#9F_f*!fjr`si!|rELwq>U^^%LA%16!^V{9>ccIC zDcvgHg9ux%4`;iqt@qX_m&V2DWakszc~-f|9yj)8Jvpd}M2Nq!zk-A6*lNR*(m+Aa z#hc=bsDXNK;4f(*Z@BCm!=QB%CrqwebB1+P zmNmJ;#GZ1Kh#*CzLD+d!_Y?en2PxBMnisOqf8$ZqRcqp6F!1*KbcL+4KjC)4x009k zRRbBKMRpRHBMU~&;x|R?uf+=pH$PoDqLSjE9oj${gVmTa5)o0GX3Y1KP>UhEbOlRG zbm`Xp)UB*rLG}2wq0tHq3ndpY>?gx6zBo{%?y%u`r`PsAi-Aska(Djg(GMRLCF%VF z;zp09K39@7iE(TS$a^N||2=a0QAx|DAeBwRWK$^q_pCmZcF99^ZsnA;W)-hwsNeF| z7xIa4-0`ql(l9*oG;8%yBiHe+U^lI1=>tZlysSIy)b#bk+l@k}YE12)lA2=PIm!7h zos9cB@ctzSsb5jtnq_tiFR$G)dc(%g{9Ia?cXHGJ16@F(zd6-U5UWc(!?Kn`0DE8s zhrGz=D;PGrzz{9|ChR?_bP&kU+O8CwMkNxOjBEz2=hY{+Qrm*<5=H=TVGb;=9x=R> zwT~1w^ha_-7JD1DQYsjO-xy0MkFPy5JAcWBksiX1Cs~4DK$(iN^?~K(tsT*9mV!0k zO-2n&&RqjE=v9eEY|ms@)e~bIHW3&A zDcgcbKylRdvfXKEF$mrIy@BZb3V3btQjmAz%=^sv8EUcvR!T~e)I~5-#RPNDn17P? zrD!eP@IlYun-Xap-1vJNaLFN+nZI_`3Jdq$kBiN9Bex!a9_G>hOFkAsm69IZmNUl!H}vi1SOjkqSAB27{4VXH;{qKu z>w-Vi9TSRq9^6WP#8&1egOanyCV$@~y}-kIBrVbvKl3}t?ZMnY&ve!f(o~yIsU$Pr zCM#rAzg;=3fko(dp?l+GK*i~3C@M*xqh-HN4200KnD&k6+HmQDlh^y@{CKG`elakL-RM)ur~=yzAVdS=@obgz{oc7KJh*J2ARZ-U-Rp z9EdMO zwOVCFyP_5qUC*55#Fd14xeBZ*I6bi&5=4i>q+&b3*Yga;x*XBmB z2R3GcWwEoBUurC7>7Hbb6rTkfhnLR{ZK_7^&d+o<+l+ANo4t7z1H$-N#P?;^cI^Ay z2BB@ppVwrHq002GI;kGboCwYwLDya;aFhSqY}+CaQxip{W8>Oi5>TP^W@NT0Gv@Fe|I{95=_5~e$KwK$~C z1RMSEMVP~h{(mEMJ?|nO8xb}*YAl`^x&&(5mvJL`m%i`C0Nci#O7s?~SPMToOCgpm z28W`ho!-H&kDJv+mO|`D&-&IV6EV(L`mL`8n2gZC!tIqo;Un{#z=g$g3DOMU`+<~? z%Qxl=b-5y6I2(1~i)aQER?4OcMH@3jH3r_zbR(K$5r4UA`2JUqk=6X5yLnl}(@X{T z9r@1DdvlndkB5=j&7*ohnk9E6xWyI3eADKbmb8fQ4ZZ+SxxvUSSYNPDO7J*1m*n~T zD?~AJ(3C)Lo5G}r3Ee*|l#PDttA&Ea3M2^?&&Vv}NQ)(XYpMQrd1Zi)h&*|HL5d(c z?7^LUKY!Mq$D&pdSXLI}+k+>=;@~8ONp{_O22R$7C{L z4{GLw9D%a03tHWxkE65y{f|X230E{Yh4F>aT}rg&9JgkY#G~8Ab7syT3}c!EN*IIg zTVss0rOeJkR7@}nKwajEVBz^Ka>v9=2pk;>qko-$sR6v&F0n=~!!Y3`HQ4M#^_26V zmR`SJgfnfX)myj-Z1ZOC&@{2zuR+}Bz#F(83HI{zI3nBOez=czCrd4Y$KOTXqpvwM z%PJp-h3dj-i7`-#h3w+^v~@rG=+%gugbuB4o;}?|PWrkLx?4*it#4gMu4Lf-+EG9B z&wmr>ydy^qu^K+Vi08==dypCghfX8yl6O^Cf1{PW0zmUV2nPwn(s+|!>RVCyxE5_AV7b;(U+t2X04BkETl-sMpYIUhwcuZ5?xErzT}kUtX^1M< zk2cFACPvt}P{0M1oRBkSvmu)MhiQU_l7Fm;O0x@!Z6Suce62c-y39Fj4i0CjI*}Al zkgB{5%Er64-Yjoh?cZZJrpd9>WoY6cb0hZG<_< zUeyy$meDNQ!(6a02j6@srw1WOZ``R_0iY<(J0amW9dSTnGtRARz_uWtcFp46b;eiXSj!4iK_i;`C-r` z)q8ju_aq>Ip>UZZGD3T7;&g~4Im||PQZ~l?DB}ofbW_XwkKP;MUSG8_RDUQZM%EV& z<-5F3`_FFsIH>SfPxa=ru~S4wgwy#t39sTHgu5=?0X=&vWR`x+!knLN?XpjL{-aiU zICpn^Is=tmeU}X-E}b4!s}&;Pa%ftrvpW(fu3N5(gjcq)M`A1VKMTi;W8d2dHudk3 zKd#-o_55E}v*TQp$Q4R{d4CQey#uQ!ZFeVYax1_+WSdN=3o`N@Mr~t8B2pbEAVl`5 z(&6E(%J6vhNWWM}^ia;xe`+?w*fV28o^FnM!q4nYRB#1~;ugGW#9iM=>(|Ab`ixIx zN=0C^*Qlz*PgpHGXWwj8a={R5T_9JMfRpA!{OlJ@rKn~4ZIr+eD}PG|8T^En50OYH zFK6~!caK0RDqrvZh`psl$_En*KcNIqlZ2iQca~82TL`|kFVw=bq@-BkDg@Ycs8!t` zXiHTPHdMaRlx|qfcTs1s>#5tM;+<}^?27TEO)ZfIaNpD^Tp&FJVm_Q0bcTp~O`eY( z3$mF8O*xSTC>CY3J%8NkwDjsQlb7&Uhvi(W@hR;cCy)JoX_Bw}7}&>XnrjDF=NExr zNCuz&H7u6+3~1(EoI!_NnR>%scwD=>d2W}IOwZPa4&fzcVEmsK0ppZe*8@@=u@kz| zHQj|F?C9Y;Bm3mH9r2!Q+};@OQ(>^j-KSaJzzg9(z2%x*)_V5REj&3^dG5@wrWUSG+9D22cYfLgq3(oh;yk!5B;CI$ z+%P2;;Hl3cm8*oig7^zDEi``{i=vL$?An9ETeBrC-FNDJ-$cy!`?AvHz=?mM30d7z#7WD)fAT4cUbg2g7HawY69!( zVGzgxwV=tH!z>L#u_^cE{iE3Wtv&LUy}JG>M}Mt-IH3i;*y^Jzx_9CO@1AasC3BQP zhV)s=ocylbjwB#iUMr*0-PDBpc|Byi7F@5Zey`MV1o^(T+$$_M6)MD0YR?A+LdY3;CgV{O*w{dq&$D3{y0j%6!3Q#)5d8UZspiH`@Rc`-R zUw`fVUs{4fhKj?cx?p2+{Lr zrEt(JWs5}jU?|Rn#t_k;lvThi+tavCj}jD9^P2i48<|hvN{Lc(ZN+*K^|W{Wh7h<} zNOgX}2pHM4V#)@uvy)R};QH0u{BRZ~Q-9ZFqJ|5@nqBu6=WNbICOnp8*J5$o%b*Hb z-Dt&BRle?;MYrXO5Ci^xreZ|?aUImDs2)6~j(M`4>Oe)u?CTRk_cbkE=OmYapjO^& zNJC%*h9ClA^Eq6xN&zqJsP)RiBw42zKj7X-DbCh*_~1`jaR#wNjvtRwR{AE@-hY#< zO*zwwT`q0fb%#jfjKj;zFLWJMDg$?HxqcO1%Bg7su3{%S0}Ba>#AN z{<7Rl!iu}P=Y*q)Ibcx9tQp3biPmU{wcrq^9Vn3yjJ>g(v>%+iYp|SiX+dJP2sZ;Cw{oO z9TC$9)kLK+(aOEMk<qpc2c>xp>@!d{3Xmr8*EKk;ghyL9i?-gt3uMF2f_kv$S< zIc>69hVJ7?MS87A=ny_A&VQ0IfYaJau|$(5Z;aTT-Zy4}U_M@MhnF8t58d~>H5@_9 zGGS>#8_MK!Z7?MrLsd5R&13^g5^|~o*-9fh-Bkt7@C&;|z5tm?=o`gQ$78YB7;+>A zSmgJ;U?k2@`czqB^|EM5YJF=};uWpbQ5;u{*9i5&niF9N=i@>$eSg^P&KE2yKY+**j_u!{Dm@u+Qlkxp{dSx$w~o^0 zHguTBBVJhV-rnh+?#CzCX9`#(rl}RK2zn9PCVybx50Q)(34bP^;+h#|>o~npMS5Dl zFa@X|GI6eW4A5-EvcvwGBPc({;}@1*30KC^tqvVo;zcn1aYtXf>!}!g>d*S;8`(nm zl#t=g(P`L;LvfjkUI=FyAFg^Z?ioI*xxVDKWb0zlS?wA`9my8j*T}NSOk$!)xv0gw zfYEt=rmE$uXn$I17k#<9oZ+jF0>P$St(urE_;@aFo}J<)+t$Y$ZpPp?Edd95=~414 z`Fv?ciWfpbWP1-MU7RHS?W{Au!nI;A9I%S$FkV9G#khhfq?l0paucsA^rDi>{MjrI zI5mm^F?>;wYdXrd{wtFrhjcHQ8kzAIGoPuW;1~uAEPuJ)Kjpd@pVLzqo<}^lX>=$f z%++yPPT09cK$_-{DY^jQ;N_k+48q*8fIfqtbo2;YO5{xHs!dP^&Q+3tFs_=AOrO1c z$PrrI$7I>bYoA3rLCi3?4HOD*dt9U)G(EflPN!#a(A8}^}9vIGeeY48Xu zvmA}U4u5^_EDoI54DrG5a4r~J8;JI6RBqLl)kSxm27zL%CvjvQ8EvmI=d^;VGT-V5ds}FD zX4MxvwxaKyg!j@wtZw^smi~NX2PLAihk3Ir+x!g>cN@}tJy>C{k>jjRnC=8&k}s)R zXMelp2R6@&Fni2)*pk6DW*>n)g@fe7088pzxa*tKGc3I@M4wm4_?mon5}or4IMl0K zcqtMC$>g=}bXBGh=X8lS=(+dp#6i<`Ednz)0>64yAOKgc2W-pDnbVO$LWI^qw(BIX zG`%^*VDhfJqUqxX*|%4n##>~--?eH>#($}S!DtuP!crMU+x@-9FyF2Cupi=g@Ljzl zQ)vsE){ai5dK%Uu4*#-w1R9?GFx7zG^6eS^79Kl!8*|-6`qw4pvC-+1g;vX|7p;Xa zS7>^rM=*x+5?vHDX+07Ht1$8{ItLWMa16=zlgm5}_+I=Z`*6Atae=4^;lyvF-+$(h z+{MTGnB_wf$c$~BIF|Fs|Lknp74_V_hV-k{omI|be9w-YQQ6){-ogifp}CdKmN*jE zAqc~(AZT2(>sWl^DIDyFIcwYH$E52YJOM8?wGomLgTz}c+Qgt2)8qZ~v-dVx!?$J+ zF=m;=OZG!o1NxMiBF{JFZJ?;vXMY*O4u5|+G#lR_>-n0%973do){n&%e^8~6-bay> zhnlNrhW7ns@)eiv=;9D5v(X>sR3eoGzjKABSHWM5T}(8*_0k0+)l;Iy#RJ*NXC#n( z_lf!ghp8RaFSto!3TE>(?(~>pSK#?iKih5dlAkJ?IINPPh=-o7fEiZy*B^@<0X3-Wu29)jD4 z&eM1#^Ls%7E@oUix76!ZlNldwwEp6GsJjHaK^aqi(;J*sJkBEol7Ig96xQ2Cw~`5| zeBt{^z?v(yp*E6$AfI_@yC^B;?CR6<0mg89iMnykp9@Rf2S{YzXN|G@4ea~k36psg zF9T?IP`(NiA$(rcWO>d9Lb$Ikd}ckdplVUfpCOWP_xVe=5Qe8u_q@Ia{6RGamk${q zni1DNkC%v(TzZpOo_}Ua<8(mr6gez2u1`jho=_aBB2h_7kfgglQeYw6{qvoyU}<`3 zRyovounE{xw9PjFlExa%)^sSQoSqSLaJ#iY8iDJ$yJThp`z* zCRbuzx4{h1_f5&vNK0gc`yd;B6#wG);x(+n1OJ=7nIfjR*nj5>UVp9csbS%h%R@K` z-wkJl?HUi86f=(x?&xT!lbwn7K``IWG7kKlIj+qXU^=3X1a5y=05&V?tZ45A7S5)S ze;QN~6hq;}-;hnZsx;4p&N9$xd|2i1_QXxb#r5;ldhIMOxQxq zo?CAhr~WOL{eR9_*X)a)jJchnIZ`+KJ*Mr0(}XvAk6Jtfdq3vwt*qRK1hh%?2R&rQJ^qnPNx7R3>~(r$Z6mr5f6Ef!MvXW~42 zNo@FhClpHSE--*U>-JL^?LqeMZiE@MAGt(h9-qF@NEPA!0}WA)A1`BtczQ^^?RI*R z>`(4>(SJ7rj+ppALgow&q*$m}w#c9@5y7d}NJADSh<%jldqm#fOw(Xv^cAj0&u0T)>{xc-{G^m6UL#p(PU?S8TG@0<0#t_>zB}lc6PJ z^_v*>r!x@o=T4OV8WW5P1JI2w@#J9O!Xdh`4S$%1<}*KU4pO8GABO)lV(0gm9k8Bd zdG)Qn?y7d$iT=uIO4g@8{wF4L-Y&Sl{0Ci%rN2xc&&uHYE*R%k$+-aMN<}^s1Mk8* zq{!#N$}}%ju`6dz#w{YTOwffLk9L7oY7TfXz0KM_BLQXO?-?;vC*_DkicfI!uR}Ar zB7b(pJA~Cq@8h0Pm(XndJTeaxIWpkU-1(zGN-|KlG2BgI~gU( zxc1?pv%7Hxl~h$0FGYQhgo%WrDZNXzQ~0vP8dT zaZv9TuA$!|7rF-+O}i&5>>9&yU9&5TdVilncSxCgg6j?6%CC>k#;+N=riUR}0CcdI zuzlt=GR=Z~Qkym67UZhFzT1liqve)J>r0Zai8#U9?Jib8jSpxL5sYki=j}{>s|_$< z>|-zwB+Ea+V+(biY=ASQNmi0^4Gl__tI)X)o}V&HSkgnVoeRhbDPe*4phfp zEY7A-DP6}qQ+D0~(v;>6i>#X1+xLjl4GbP58lqF}-L~gEX=R2aT63RISMM zLbwz!v@dq^8H@>lalLjj7l~_CZmK1&(G%%?`eF3E>8#!w)$}lD5(UU#M*D6gZul8X z41HE@Cb?HwihwN7MSV`P?7(MO?0;D5bs;ySofOGiULa5aE}s!4=?PLJ;Z-vKq60P; zoUmIT;=b~QwoSr%h|huZP&LbNIxHO}H0Fy@*}AE<&{nptQgIncesE-xd55{=a(mfO z4#s_sCL+&kzOe~DbpeGYt`Ax3`>X(@ogG_`S&}nKLSWfx@HeFFm`-TCOMe;*=4}dp zuJKaI0srd((Tin%py=(~{2z8xYhY*vGuoR_jI-KXT@gH4qNhD-ou7!F!1PJw=B$&o z*HjLcWfXzI%_IsWOTGtpgfxfCwgz(+&UZiQ*mn1t$nVWZUyEe()nK8x#rqc8FpLHXeaPl{OXOp{7x#y%6}-0afjwU=LSuD zRG}Kwv6Lt3`8p$p!Z2~1cV+EQN05d`$+lX+y0901CJ=HuKYlF(<}(|tc7lQn`E~aJ z!|{>iYRLP}r;_|-PiWL z5kc?iZ3MY$1a+phv6a*;3_*N;t^*^)t?Rk*S-EPg5@O?ES;0H~4kAv+4ENRN>f;YhZv2XMG z9eW=2+x~6&c}@~cEu{mFt>dQ|yC9pYwH!&(7fEXtlN1y4i&qYJZP@%Y@&-A~40iBzYya^H=qfKV<3DDnArwEsr*4#B! zgC2`F+f!gi)~Ja5o38u+@Oh6Za9>3}d!`v3c0@MUL2~JMGBx{b_51B_UG(7CZ!q%3 z;{d-9p31bv*ZJm=YqO)eTHK})WI64EE#EgH!yHoNB!3v>-7|c&J#axHtS9VEyzI1# zk6p4+L(m$$2uc^wmbsh6LY5Hae~-mVTC1h#drQwzJD1IJf*r3?6*iQ~)FH#^vji)J zt&=X{W>}+OW@ukRIanHNI`@YBiN;(H)1&F9{PjwfK5Ij|KWfGnI#WmXXT{nfDZCBp zHvsliP=6#OxM2dXfFv)ErT<33^L$crE0t{rvKQirLClSqvr%+kaBQ2JZ9v)H@w_Wm zfIEEf^mNHDM+XN9r=CA&S-zl}%z?4UObbcMC zo}SQM2BFg3Bx543P#FTTdd~1^1%K|D2kcYmM1OPA)2xQ`nAqFaK!#Dr))^{YE(X|% zlpDHD#sFP%hF|E-?Iy0s8q(%$^5G@Y!V-98@W#4`j?l&@$y?llC>{3! zfKolmKrXGu9r9~=V%wu(W$HaRmCQwR@KLTnEy}60(q*zMi3^4CtwiY3NaTf`fwy_^ zQ9)0n%TaJFb{RehycFy>7$l^f_(lSo4-pl*uHgQj2q$Sq!%{x9*r; z>^3f?@-vDmuvJN$jqEUlCT>|es)LaTqlEzcRB|36Z76Y>@3M!7fC;UpM6uuhvKoLf zZFlzv!lq9XXeHN|BUT}$VKY|+c;sDYjVfOuuL*Y0o=vfC?7V4o{fnC~Yx z6r*5h5<%M&41qni+hI*Vf*Qj#x6Uf^pbcL z(}G*@Uc1U|sjLrd1;&3(_Pzx8dc`Yukr7>{U%Wsj$u3O&x}=_C&cMOA&c%f2Tx<2x zX%tv)v#)f5)_xP#@PFhV{4TS4dEYeKbx}gVrnT2k_;}z z0m)AtdoqYh)%D) zaJgeL68p#75BjO+!Z2hKd2?SD`vr!e8+#MK7c|_#8X5md^$>af7BUX~9l?^Dy<=Hk z_S8dvEX!MvxPKM7J3s48k7kA~nFDgYMR7Z1Tk|D!jJ|YYKmV0{AJLJFw_BrO7s}CL z^S&_mFFJ>Jls6jPT;=*eFt{fs9`dQiS?ky-?E!#*nAZM%iyE zPaN0Eb(ybn3qQB}KD9v@5L9q|UfI-Lm^jF!knTh7gc81+BDSinJPsE_bNkUqXh!d3 z{4@hf#DC=YnSFTP&EGGEr*o4;YyGj;7`Y*Gs-F-IMcfHw2pwRI2AP7uZnestLI!Qu z%Im54dbL)4fFBu%VD*56$k~EaYQUV49SPCTVf@bl+vQt3{6Xx#cSdylFJBh49^R@J z3o{0jG#8IuyWwjfH!w>8Hm&!OhqWW?b+fvD{C`QOKjJRHpN<3ebPfJ8-3Bz+{n~yQomQ(T1)F@9zgDP>@x*~HWjHKSw>&X%Y{(J$z%U| zM{m>H?oxN+U)sM74PL>Nn5{Enc{Wfa;A_xY$J|=_rD2S`n z;(y)!_6g?eAmbOlT}Sc_CvQ{1;7?^^`Z=rGfkCQ@0@9$Uh3F;btINf7^bfF~JEDGV z74K`cau}FZJPiZLIxl-~Db(Az?PH>EOz7M?h6ih5a)hl47-iT8YA%ym@5JxuuiM{- zvV7J^tlgB=D?62~uxFbqIG2bxm^x8Q|12iXV@c;O zo-vNXIw0Ls4ROO(4~sG;$ucR>U2k;X%6jODjrVO@4sWsHDs}VEGsXmTzJDb4c?-i8 z$N$V#n9#^~6$*>ccmNg14^XmrSxAo*ZS&dOXlMwV>ixcvb4Q4c@7=}*e`CoZtjwSz zr|;7*09d`b-+cyHk&3-wtq7{3lg~ul^>4M@I2V(_p5bQhK{N-y^@9X;*$1G~{8JRe zx-k<}p4fM5zoLNa>DRz>@qcw7qG{#2+isH&%ES{VE?=faeEbSQU}vjhqz^k^adc?3 zaS2|Mj7U+OyXPTRfk+@!FY;0+YE%J7-gEO{n#_)rM1{P8BX;8S4P=#rYl0C$pL@uZ#vluiMq@JA!jPrqhj+LSd4DeSatpEE>)F?* zT|UWy8RdD$qn;G|=w9seBVgySdU`t|ReUTjI7ZYaL6Rat9Fo1?``A~_r0zlQHAtGh z{7{U8{N@?kN_>Pm-P`^S<)qD7Hq+FXIP9ZQv4sjA?b3Ac!L7=Tmv92_zGX`@p!G(* zVabVpZtDc?V2~bP&xEB&`vG<+9*49p_EacoBp}$ID!NX^@*1`ui=R2GOc94_u!D8e~Qco>36bDAH7r(=WQ!gMS(+N zr{|h4QKq>{KZgZM(M%wti5=~w62a=fj-`!{p2`iy-F&=b>VN9dLLW8nH*%#Se8fs| zJ;GjyokLco^Y}P4TwB|Fdc4QEog4bXVRA+87@4G)fdnHVh|t0%xt;g1O1NeDQuUJL)X)MT2ox zF=Mt;X-ONktAEzi`cube*Wb1;YC-!8+LQv3t^TcgOIX}zApBD2V+JKQiZe#jWVy>{ zEzLP1?yQwCXTBxNUZkaMztDS&)xy^DYlHsWg^g4%Qe7{-x;e`Fht2A@Dr~>Ux9EA= zBp$^9tR^TJ@s|8>0VnR32bYgcc0uGdp6igvjgauIQ0w}0iF@Iq2BzI=P zZ$zy&7=MrJ*a`QrpSXUVcAdn2k7H@qJ;3PY@CaMw@$2xs)F;KZTeo1mno67+!QO`K zU=3(RV;d#VA^KH1hQ|gb)J!#i75?;r*vcz8?=ytYF&YxGA?z9V()Bb6McZSD=07(> zes-!(MZ1s*oTlC7v@kfHvrv&H3|o`_{PkT!GJgZgl=g>ZYjnjBC!a+4WPzcsXG&KY zPE4vF$Ip-Wff;0e1)lgP^IEI<<$g0-|CPC;&FC+qBSQ4XLX~aZ>v+zpMJ@iAu~*e+ zZ(faNGQ!dA`Ks=X7AZq>H~joEhr7Oe@Q}`J+bZNzPdQ%LPUKyY=s5m#x{dOjHR?rF zt$(^_tUi|{ZUn!N{f>uA*0|S6G*y$f^oryn0v##aIuAix@S{JQHG%9ms2?2 z<0p#kQlapX`j(I2H^=6w{BI&q_auiJeA=x%sx1?LVTh)%e>RU`*%zt|*{g+P`1mO8 z1HQD+My0kPc*Pn}!Pp?}vn0=tJHgXklQag2BMq6&xXlpvqMn!UJ- z{pAF*##>>o1X;wMf5LEy4Lx$P)f^SI;Sl(cMt04aT5t{}4EJentDz2x%u{Xfp?}tq zElV%V6>tvVqzAFpUEEo$QH(=?y8SY}nn9;zzKrf*mXy#?1!#(+{i^y^+}`j$uz$7# zf}~3cW~j#@l#=RMY9$(-=|gE9g4(J#{yL#x`P>GCf?>Sqy|yw;<6Z>V+m{ZFrXNb+ z4d|Yu)qzGJiuj$AD! z?l&$2CPWRl{g*Qm)GLVvx64^Bqc*&U7Jo#~&*wVQ zvylO4-T(?Pz2;^0h5fJ@N6SxnO*KhF|qO@s_{_cl7U{}KrumQb}uevxfoN7D_Ds10fXF;`hh~Fu6 zUS%C+%CDiDBBf#N&(J4kEJVt;I|=T(^-h5_)aUa0++z8yx1hp zY@*Is@+A3oBYm&Dm>qVm>=dgYw0HC_qU)CZ!{N2)5!f+`B6fatRz0HI?YU=PG+LQ4 zQK8v(U3NG*%+2DLD_T|t!J*t9Lezg3@al3y1(NJI#^9}=g!NfsB!9Hr!>AIqt|8%w zD1A*Tik*6BCeMw|dGcc(uLJ}R#6ztZ56#9-V6*I~E{m!~#}* z(!HNw(pl)aIRg!$0+HMcyFz+f%b@awwDU%b5eKczE7;v_?H{v7zBILqh9FpQl7#zp zd6GNK`^$@RE!P9^MSt=&?&5umLDA6T3LpgZTv}7ALNL>EQnE4M;1jPovVFx%cQl7hwpW9q0?b@;ccDqJyA7WaO}|Nh-@7-(5py zGy~o+5aA-U-GbQ|8%@^sS&p6=y2{(8M(s|airNO<`pwtttbdDr?)coz9yH0lIWxbH z7@^E9KH^89TiSiE!u2Z_)7Bf3yXA^+eBNrK~I4Zul4qPRI=FcT6OpOKC-Tg_%&c;+QMvsZW(2N>8mTbx3fC4ZqVfDr`25;}Sj&=3_xYZVBt+rE5Spp?3`I9$hWIL9C-Qw!%r^ng{} zrINT9t^}LTe$efrUF=dbXZV8rXLO4bbpYk~DO^AAxPRdI0%_82W){6#?4ADm@@e9JGpr-(&;#dEqLtv9lX9{G{O_#jAEQ|C8X7sL4w}JeWG@6!ClSl z2GOvxW_WeAHz%CrRv#Lg5)0J(q2#;E?-knb8JRN=NEEilQZhbvtfm@5Vw8%CGDEr- z63kUu+Mkk|2r> zll0V6WAEN99eSAZy|75B1l=elrWvD=A+*+@7`ct?7cuOr!`NFJ6-%F@YjHxs!s1j{ zmlwG>@_%hgwC+m7TA zulzaRUy(d{f~|||PS0O?i1LnK+LFP#l@M^+seH`5f1zGXF@x6n2wm|{J#M}c)_;$I z^HB50A{T(w97VbOBCLR-thyf6Nn67y8xYA}h^2SJ)~VYgWY!c7_}QE5_OU&ToYM@~ zR$qHdJyoG*5eTQ*n71oO+{^tqyr?gBi|Vxn&cWqp+;Lt+9U$<+pCKKTn*LJgV5=Xe zZ+NcqU92H(iO1@H0D$EY7Yb!=WS8an0}uf@m*DjTECxnTNL5EjmugJ}k(WKL1RMuZ zFH$d4FI1Oct^^*Jb)y9t7h^ADZ)|UFFJo_QZDB8DFJ&)fX=iA3mqAVhBbUFf1SAY` zb#HWKWMXVDaxZh2pn3!#mkh527!^4+ATS_O3O+sxWo~3|VrmL8F*!GvVZj3w5jHb0 z3NK7$ZfA68G9WlOG&z?Mdju2&IW{;rmyzrNDSvilR9tPAH4xl_d!TUF-~{*J?jZ$L zKp|B~L6G21a1R<>gS!U^?k)im2n2Tz@{#wQ?w-}({F><>RqH-ypR9mPHnKYtA>R=1n>k1U@|hwI)j052n;F*go8x^ z27h1>Kn`pP;Nt`Eiin6{G6G~_jvmesYa2L#MNiv+m7SgAUzR^E01JFL1@Y!7pE07KzV6#wf$9WWRGw*dpJAogH@tcIqMvbrLGMNwT3pa_P7oq_fMP1mPZ zECH$zOEA<0%nGoAIRotfJ^(CXP!Qx#Ykw|WPh8S203g7{5o`&03In@af*t=r8~{hK zvjfD%<>?s!aRFF61EKJz8Ngux2-MQv74)ZoC%YBwuYw$%VNV_oPuLTgCd>uyV(AQV zgae+kYRW16T_@ZI2>+AY1@Z&~U{+6_Aeg1=pO*f@o@ky>I1mDL0l>lT@ISdMz<&S` z#KqAb=<$^MiOkU%@>dzIE)b~ozbfDWID@T$&LDfRi^~(u6ZfC#{HssEf9oFT=xFcp zS2XM|uYcA6fxCe1t++6G`JQrG!k=a|1j7H6WHh zJHz@^1P}y++Is*%U@J^+br}39C4YeBf2T6ne@^88fW-e1f&U}&{@=v?ca8qrOZMee{bkpKf`7oy_7Eue zN!!21`V_>&^KY204aCw8`bPwTzacOb^zY?A$@N$9+^=;E74&7;|EC-FFHg;muWcF_~@_$fE80gRD=)i$c(9^R0)9?qjbai%q()h3U|EcMJoc~&T zFxVYziMcojvlI)mO-=s@uOiLv8<8{V?&5vc7wlM6tDB$eR{`tvO%3}lQug+)GMKAw zO!T4IV|Lf^{^x79&4Dfwd*;q$@L>*>H$Cf@T>KTLRw4Gy0PZ(MIDa4a3?jXbW>nXZ zjRkr1H-C(1X}>AFLwzyU`qCNw=!(^k-YwUUh~d%^*T*uYCWg2TuMUBrDsOj>DPjBS zlDT)Vzwc}33CfqBlr^-QlF$<+C;d=Tj%py%LkNOH=%bw#IyqO%AaxVB{oHR z55Q@TjJ`_Odm&5rg?|MI6B28a80wTrZC!e}GY< zn~TMlz3;a?c`li%?Q-t*tBzC!|L`GZBA8!P?5;+;Tkr?QsegYRbv@b{8h~v|Z{2PG zH{_Jo6#++V794lnkP&2i=e(`DQjhw8NM9j()F>L zp;uGKmwZ$RX7$f*e{}WqZH>&=4@_wyXb9U)QOz{_UIiGhQXe8WcEw88BCQmQUfNFA zBvpT)(M>{T>3=jJVh&iOXGL1JxMkg-k*UaR4SXwLOezgRXC#r*)&w4Fa z``*28_Xk^yX$s(saUIEV79?w3c{IMXS6#yurKEXjwtwT1frVYpmH`+Dh)DMQbV8)h z)Qz(r4ZvwFFMpWn@8WbQQyHU4vB+kBPcn|Iv+$#^cP?77=65BzrRBGysk0g_lE)yVL0120;k`m7IH?x@g=@K5a0Uu5w`-2#H{M7MsP?4W=Q6vsp z2*JXSgnwy*{H?kcX+_xkDrxc*_*da8C~X+>(!(BnxDwzho!s4K>Fv8N)NS5sz$dM^ zS<;QT9j-oYcYS;0$<)RP1KG)U9h9wJG4p(wWtlM1FnD1+j9upWjzKvhNYPkIBm$51 zM`cqJTk1gHE+kg!e5|$TjY7#V6N?3v)e`nj`hVGv%*?lQoG6PJiGq)vhI$ENx#<*(-}vS@$oBIBi&Y8;rdF2*73Jq z5qTl78pWO8h?>YszvNtvphAseqkxoLVI8?KzeAVsp_8T7(tW%SvKdZ&9a<%WY8ltZ zk$=$2k6JM`4ns@~o}BbQP$P=JdEog4`E4bhmq;^|8GQ|CXh5=t3R+)w5&%2R@th?l zcW;^^=1NBDFR!?}fU1lHs{vRqYnUqO?pu*~oUu<`1i#~?P718QF(oLbbUAaBr4g3N zsT#UhAM-{3A~Nw^mog5)$czENxz4#U*?-S9i7Ph?c$PS$Gb>RATIRN*OvGw7J@9pWjTlkm;{z~;{z3^V2)ynj~9 zGsXi=VPanUv7R_2^T%i#;T^Wa<4)S-bze94)ByMx+jnPP2n}S`;ec@IRbfXQ1f%$F zL9Y))&h;S*abU{_Hi8}(OfF9@H_9DSoLwZZT;>Ipi`2E+ObObjz|orwAr8>7#cEDs zECmnLUN@NdzZTi;3DZ1^KwPeSfqy2Kr^?}sx!f(WjDS>VOW-qk$u(SI^@ImoaBX^_YJa5M)rRFS zjE<8BT5lB5JySZq0GXJNellXX$99EzA73!TV$5sJtbNQH7awL4EG||c4PGkWER|2c zj%L)8FMH`S7B530M#|^Qs1;{FQH0Jyu`F99x@Pf30MbV(S5_BHObvXLYQNv5Jrw3| zG*3sSCAN->;!=x!aMNjT(0^ApgaZXyQRTug61Ig$jBvZp$@xpC$$~!YVSVbi zmcPQo`B~Rm-fXCZgK3JyLDtu{wwN#c1(Q+ecz*(BLvG7Gu?`3UErOhoun zka46M>Nvf{;j^1!G%ZvL02?H6>FhM;XDP467MAXCRo9$-Qp!g48Gnu>5k&0?Ce$l0 z%DVXaOkAV!Qq2}~k3)Q^#t7r#7|neiK{R;1Q-A;MN8qQ-Rf2D8CTHb(*W*Ym7q~ zmTSg;A2DP}URj7T1#zVEz2kq_G)2EQoYj>i2g;jpNSLeOdIrzKH!qC zPs(q?lN#A)r-ljy_?=ow2gWoYkQWO!iiB8@50U;$meV47`zaCWH*J+mY znvW2s^i>sG1kF~Xe$%}}lyYL4PLW*am@e0VwPMaU+j^36e=aoZ#72MEE6Fpg4!_1O z6V>BAp0pPGX8BY60$e74Cn%2HhN_$b5*bztAej{Gt-(r0)r0fGxV9Nc&sxLB?Z~UN zx@C2V<62~@Tp|T=6*IMA-=n6WxL*eSe>sY0KJeU0;!kV)l_GXis6U?MVey5{q8BeQ zvpAhj%77c9wuu9dZxw%8nuy)+xN0*}Gwd`8wN%+D_>{L_rHfT%m~TXS4zSCUnmji$ zXcr^XdmB0rsC;p>($HF&2uXA$PznnqQe8>sCKWfOw@ka}GOiY1x@;`inD-S!O0#nJ zE=l!r*b~qW>rEQt-`yr)f&?6mGUUlzZ%>6fCvgT_P^5nYC>xwt4=xzgX<69X z#m5PF(@JrB@ZG+etFeDLXm8RocqSNZ6EhG<8X7uU@{GIj>{}n9a=TQpq5#Rg7vXw6 z@)*uaW%E5H-ltA^wqtWyi^~tK=hwgS$H@nLFB*6CpDFNr$ri}Ya!R6x=BH@2J6`1% z>jQs8tQmNEo|%71=e=y${3w%@^2VN*Fj%2AsvxjQpgksv6>A}Bl9(I=oGiz&S@T7H zX}y6U+CJ`87zwI*wnrPqXC(CUsxf3n;KaZcFLJtcWGx zVp4svik=C_B#*rc9gU&6=+p6I6I2*Kr^vy!tc^l{y-GFku8nWac$Xf&g2=G7IRQsN zrFiWEA1Z(1S9o_GsvL`Er?ffR|N5jihQRyhZn6a9hZ#p6yyK69CvKBrwu&i@zJv-& z603Y`FGL?zE)P9RnMVU>aj4fz9*&|Q z?TUY4N=`({&8Qpu86Y(wTSTpJePKey{&p_wtEN z74W}W&vMxRoGK3EA1g-3j?J45n-KPMI87K=m}Ka99s+tObP`uXB{@8l3<(e@pMy(4 zIH^gY3%R2kIKh9vaM1bOC$HF4?as!=M5`rbKn$~DisPcL z5BqBT?TYiK6tU{>Wrv<5ShMDg>#7m`iXN<&y0K0eM(|CVK zE%H!VtZ)HDNo`4f0&o$xZ>fVgrI*%^mGl+Lb)joDKa`dHhLZmAM4Q+ZMMMPMw@vN ztvBxLSOm0$9UZ~YzKQ%SXFo$@Pj{kuPMi_0ksnFXL@Isj*oKR#(vGLY`7e~5U1U7#U4NeML zwHu$WQa}10`R@Jm<$`lsy~}|w1Ey%IhK1=KI&|hva{5vFP>{@_fif|QnC63_ngTdr5WkVM6>Ob)f~_#JS&~h0#BZ@Q)2K{ZaR~MUygszR%=u6nk`-n z^?78+=4z**>VBcdOVD`D2o0pV{&!d;R& z!rmyUJO)`eVFbwESA3R~lhRB)MVKL3STHfpMp>=qn`|UpG+!?2E+-Y$V~dWjR&Oyk zBP9CrXw7dF0tiofQNw?{4(=mkYMBDY^l9EUAExc#h|+={U&7P^9p1pdGtnklxv!Rf z6ZYZ58>e7!jk7_RyJ~+v@)+ntHBpcmR<<$lNY6DUOVb7)?SS4{#Y;~Me5OiXFrPu7 z=yJkBL}2;Jm;6aLbVutZ7&;w0M#-$q_^V>lU0=W_lBAnm7i)iNW4=$rm(?=_<{iH} zmgrD`?>KAO36><=++42ot?2t{0$_12Kwz);K6_Ex>K&5XI^OB=o$9q+OI%x8gp!|- zuTgVn)PZ;Ln_mrE>Wl+LqoTi&IBxNK1%C6IHH9xpSm;VeD01WP7n!jhuNG4Xhli{sH6{dy4kfn&r4dKd5gw@F1X@R4<*vf5o!OsK^+XPH6f$ zOFv>^cm=J+#Okt#7JOuZL+aJYJ02DlJOEhuEZ%D9am~0g?gA&xl6upZCtcgXHiPDN z@LjjwGE{LRINyWR{B36#X3g+#4-63pgq=GamL$3qi|T(C8qEmv-YHYk9uq{vK_V?LB>Y*s*=c;1p$5H0V1p| z4;Ahm`Lxp&R@yZ);k*i)>%hfp6My=#INV>{kH1m$g}U}0l|O;7B{Kpf_fR_On*-Iq zm?Ha{E{%U$mDz#s$*3ZLDPI!?(O9avYY#Jc_SGQmCYsC7JOZH%$1KFbZoeO5wMbiQ z4mGm3*JfYaEw~wL-MCQ#>yd)(9hVF3an=i|0Ivv=cjYgf?}E~kE`r%tjHqXR6#MW9 zChALe)m+@{LY?6i=!=@mMaxV=DIg1Z+s*WI8R3899Rv?lH_jn&KSrmrVTOFu0sE{6 zZ6uL2&WG{00_Wg|?y27_5tnkhev6&Y}+hd2a zLZ^R|1I=(2iPYV{=FuDloR_e@5!G}i(ugoO!#X_9HQBA}6huL+SYW~Vt*_;FIUv=x zyd5*nIv5mccXVZZ2Tt^o%Vt_y1a@5pGHz?W>00!tOD5Xa*wmc;!MkgPUxlqInZfuP zX;XZ$b84v@LLRnm1?{Yi(84zQA<3B7SDk-=uJWZpAZ>M?1Jt5wQkg;{$CELzQ^o;M^e?sY0I3QE**4PSn>z5D;m7az1WLT)T85`=X zX6T7Gkg>Znly2obLMz}gqW<@Lv~3E-yvNo1meX%q>atLMqZTZcvTtS@J(M4q@r}g7 zH#cX>g6%TeTo1E8eR;i?eRE?*suO=Q6ixOp{J!lqayqklv82`oI$sV3!B5I}-IdRk zW;sk7UR=MI4sAF$gxuIG_1iTf={>OU)NM}WhT5jf2Nn}Vgia+Uo%K{u%jA9WTaylO zlDtld7(CF)cey8gXPlZ%z4tLlL8e2HN6s+{XA4Uw#@mdthml?Lm&Uu&xWj*nz3W-& zaYMm{k$|QYW2Xs&>b>}C&}C9MkahGB<2^y;ROJn6#k~c3srJlIBL)7z*$zW~Db(3q znHEl>}M9aiF7H6-X8`1*|CE~4oV*F8d zQVvsf#8HC6dU{mHD1+6j+EdQMWRF)YlRE^SqKYiY$ZGvJ^Kea&5w)!>gwL9}L~_`Y zf@$`oM_#+==4fH9lNOsQx7L)qG%!O2TY_Iw#FBTmNw=a`gFE-jmUZZ9l{*)3~P>5YGZIwZ3 z4=W>G_3P$dyZ^P{>lc=u>DN(UVVNq?6fDkz-L}}j83@L-(yeCjL-M@BkDr_b$ z^otSL)$h1b@GvE$B6fei`0YS_*F%<9ZaN)$aRQVyNBPEW$C61Iy9pROiF!B4|JgOO zXhXzH&j)ApYI;L8X{DL)1O-HxIAIVy)qVR6t~Zx{%tVwV zZFd&+cVD7Iq9BoO)UvhjXJ>^DS$4ZG^twSRpM1SdQJ6{9EN9SAbMs!N>JFf@GOP^# zN|rjjQ%Tjnz)t9EAtogZSVqV&8||jU^0XR$MbtT<^gDk^*76YLgj^#K2WirZtBQXA zp7N0aft+>q#~c}-v%z9Vb(`#%1vupXT|AY?h3owrx>L-!Ok79$w+bqeb^Bx@-)WXi zJ`-e4bb;*OL;cY4xwZSLm@7%6@H>3@ohWubM%y4EkW`D+k%`9qvIUq5?eoX<$6D#YdK^@ z**I_oqw~;x^5raBi4D#c|Grh65cT0gX`*p;1o?l*uP^qWZ*&}C{R}q;6S#ffbJ7E= z?%^0%;utd@d#Y%}8Q7Cw*K`;M{@xH==$_BF4=P-)4G!xf=KTZAM%UH6q2Nj~#M?wZe!k@wbH}$}nG8-v>c&l#zbxvo28#SpG=+Ns$rvS~OV;aLZ zuHF0cW$L0NmcH)ZNJ^P#YJA{2dzk)lM8_ysuG1zcVr+PJ&%*Az_=b9qs!WXTT_q@k zX50qiAH?z=rnG`AmDCyuGLljakeqo7$6+)lnz~Rd#?kzaDx6WRIGUb9h>}ealMQ>IP;`Ex z$3Q1#LeCEmYGNPo9{L&dy9b54-3i|_~y`1O`BCmL@d=~7$ zH@x65QuRo;lwLsIT79Z$Q5Q8MD#d@+>_@#N!IyZ_Gcxoy2r0G-=Te;{W?UOznXjz) zO7l|aM!mDs6MBsMvF~!N_eZuXYvuZW{2sYGAjH@D9F2X?XKyL#xmP(>!+q>MBry>V zDbl0fqCDorl8aFrjGWUNuxU)TW*a8Z=$JD+_UI}$;1SJS(g}%+<)S!SB(Q&!V97tO z4e?pUM8U-e^)@}HGr@WACs&_0x+|9yB)#k>A-rMZaiH*?)z+l!y7DS;xXPmV%y?N| zZYK7MBL_}-!PCxC7G7Z0<20H+gDI9B&T%ESKs#iI&+V1}C3l`hpGz}%z?sT)k6Xzx zh^1-s*1O_$llq%^Cv5ujnI6%+qB=gcM~ zZtq#i#Q576TNR%R`KE2`Q1{=%Bc!Aw8BSy@2<{oD&1*?R{0q%lJ#_`S3Od;N2!m{a z-EUXreBP$X(PsL|fiUl;-v^%Vj62GqXDBh2Ej!(Qt}9|fU?Eed^eul@6(`zHKX|WL zpSri>{*jZsoBHBn1)e9(dCHGjT}Bc7qCOTiQerc^jB<@z*s$+3FESyV;V{Kac(tJj zT{2p0T3&*U5UePi%qc1Gh7U-sKjf2{R74&yUfb(Ha+u|tv7Hm3XPY{YXm%ML;x^J+ zvk<@XteflxiRP%cA?SZW)4EPoK_$7!%E5#@i|o*IwHVL3DW-=I(=l#*3x?wQbIwKY z&Po;1Ut25lfRcOTKiV%P&OCKRUDbdl)`6jWgM?ZW526|i1oK?t7%+-e1k8_sH-uWu zv3C2^Wx+If+!kH&OTpjQozxm8sHr3tAGyKQWSDhd2L0{*f$)DUyGzQYcB{|pA`XxP zjDa7-t=On}uFAB{MGJgfnTN9W&KyXZ@0Q^tS*`iD^oF}1L!q`MUU^v2TaTE)4eXLLfUU(gbzbe`ww z>~Ym9y5X%y&x=-qf`VTq+l!SC%9V0!#GvGZD3z9^*Ao@AcD`>5NGf|d<4OBF8NtZ{ z2JW<8xL3t~Udq(e|wTxO7!opT$i_1Bx0r^1gtnnS>l&BYl?r)=JCg+0>+Q!G0g+Z1L}Y zxsU64U+d|C^_{vR}-T$g&Q%D-H84?IkK{>g}Pn8#?jYqv^(^M z%hZs0H@=OF=qe<&EiZqBH-lyZEDCRE+&xS%#;bpz7w~hDLY0TTk13lwcTK+1hTfw3 zk+Oe}Gew19OQ1*aOs|-IFgJt9L5;t~S>xlBr$dgX8Y22XbyhhQLOLKA7~4SIJxm#| zSH=`RS(C3)2GHv1_j!N91`!_G6nSa~>lW~13XI-sQ|t8VmLVh&9R~W>b1Hw;jKO3C z;*?}EK(eY=z8Y=Tpo%HY;|9?PmKrFzW^ zcT>j{O+N?i*z6-A7F>irOVsMrbk|NybQ^V^3vteKcjP-nZ>`HG$T}u^yNts@@6vxL zz&@uAHJB`$4#BdpLe!OAmRFfpwYdbQVw48G~X6!x!-SE^$uT zXfeGIG@P|ymD&qKAs~2&R)2Y{7C7Vyd^wfyZjnj9*3q)A!VW{nDYGir&KqB0biTfF zx~{wbLnfx;!;q=DbyTM%=Wurpugb4c z&A56(VP#x;SYa< z)Lk(_%TUQoD>awV82+Azez-GgR3V;&5o>K_L?$lDDQJf`4MyR&ywgvV2x5Pv!k2pA z+E^b~5nYNbmMx(3;qx(?_zx;hcQ+)`XoxOHP)r9U$EPA|exIM%ad=@L>UB@hgjga! z1?aV{xvU-zp2#fsUhX)5YvOk5$Dgn>S(9JX=nL8jwG_+5(;8IcD3R0O6;1y5@-{n1 zVV}LQb1^8CwjdWl8VwquY+ZlNuH-$fMPN>w!g%%NIKuv%mglJZ^1*xoIr()U%3!!f zq%Ac|505xox+G!5GwJ1hF@`mZ=E69a)q~(lto2>Qhz*7%ytnQp_{hL$3gufksQgYv z8vQ~BA(wLZ@Xo6#p}Q0;^ZtQdd+T+TweA>pbnk_=WPRxS;!J}MW8HtBgFd#h_a#;1 z_tHAk!ukrpXUTT4iH}k;883FV%nUlu)}J%;f?j69W*shtHc=<+lf~eN6U}6lsQ0yo zudym(XogM^C%hjB7`~<;X}l2+R)2Wq_PVB$5%JUOjRl0Jxe=eWbdYw|&E|zT)1grb zo@v2VH8}}C-WBJn7_@)YPbo(p0fTRZ#f^0w^#0wIRdp+8*MiJ*g2@1%(Sd{^@$g%| zD_T{+qx{Q|RZG`8O!xh>jf-yRzfRIX>DS4b4_n< zOf6DnXLV0vY-yJ-`U4gVHZdSAGBY6R1=tn@kWf+8l~a}h(90-m0%Sn;ASa+LK-I<67HkGk z1e<~EAs_~Tg@Y5o_8$v?nS;GK_)lpN)>nTlF$e$%fH;E8z^~gt?q(pzKQ3l~Bgn}P z41v791Hce~r4!KJ`Lzem4gj#dnXQZY9|Es-3x~gi9Gx6qBkW#%uVAVU5NC*)6WGxi z@S0UsQu-e}ovnb*e{w^>uWo>Y#cQOwgPF^plK%Q$VP3t?K(IXo;0$ti{*%iT1TcRG zLmX{^9SW!4QUvru{zvi|C+oQ1k85B8t3kLuP`#uX%|~tWuV>b0Q}>E0leNY5P%}!&mHss#RBcXwjTdO^uGpbgZ{zre*%$n2EMjI z%--@<3U*fZe;C0KX|OxUTovqWW(BYS+P?PauU*sL9OPsRwgcAnS6#?A%cd&lSVKjixh#PQFy642QR><-X>Et;L z{BvdaFECQ}W)9|m=0w98Xm5Z1I$Hl__~SNnadLW9^zUhZE%`s!e}^0datE2AEY3NY z2?SfGrl&bq6J!s3m(=g+;(#3paV)O;P>|Cowy8oti=)#P_o2y6W~|8uj)k3+|& zZF$C>p{_T!be+kd(_B&?Du(Vn>{Z%U9;Tf@Hlt$nv?J|LUT3q4KjDA$xY@OKHomK= z8x{RVARBMxazZ-0W$>qR&GW~jzH!9xHA|_D!EeK=hr+4OKRlvM*uTA@>l+>%=}^I z8m0FkmnpHt1)zm!)*+1A7-u}25cELx@m|LeH|}&_gG@e))vS^ z`QbsuLM7uEi6R8m)D zOHG|h8C0U*EnWt>XLokbN?E_&I~ZzDilDM!N@fB<&CKpy(58Pi=H{PrscK$IdfI;C z=$L;WBK6TZPjGG`8!PYad#Bn~Ldq?pF*qxUz?eD?U@VOtQ2!xXvx+R0J{u6@>M~6_ zy&;t|ZHdOgUDWSz!u?LtoCuHdf|CCU07=>J7Q2UbC+CQDgZ8p(??mMsTY5`g*reD#H45U9=Lg7E6A~q zv^)CLqF6n}y@aSM^0j-Y1zT>BRGdwkSUhW7v}qWQfD_tsG5aAg_Wo-x76p}V$IZY6 ze!q@E7}p3w>8OVgFi^(D(dwsWR%bbF(GG7<)!gqxONIe9DE z#3@T`S1k2u+FpjeuX3y#@$=SWmF=`kJ#Fg<3tE4>_>mVDDyhyd2|kMc`0NqyQ6I|i z#_@sHWp~6Z?zxW)-JWOJ#cahI+N*_cdA<*_I8us1(GQ8qWv*4< z>{V8~E-B)5jib8SXUc&BZ^W(d2nqFLxVgWt=Ox_3r^uu7z_yndGhGs4zUXsupUb&@ zupxgFG#>6|;t1jnp$QZp4Nh~E9K}t_(dwD{K;TMGG^srNE~W_JtqZMw*AK~M`wUw%Rl+fBbqzJ;WF z5TeJ({y6s=BME(C-?%-)b%?v+>+7&?zI zA5WI!RLadxfSu~Jin&r?OjZhgkwSkE^s^E{_nlCc3U7z7J%HEYG@G|u9!{$t>T{#R z$lQS+D}lf&iZo~Ig7S)pa@K0{(Gx3)WP5A)(mi{#qbYO!*NMdO63sa98U-eP(D2mo zuCCQ9;2&DeG^z=M>_nTnP?7~C)EGqhLYw8n4G<##}`nGKeT`5akDR| zAJ0h9){WGLI7^1FZdv{kJX85$dq6Mm%kU1{=+I}q4l6aX@3l@uP7|q}rXPyPiT5D9 zw*~qyE%;F;{E`~;nzy;AoxL5=IFjtxMBhk(ahsj~6B3AyKgIbri^p4OQ{TwIJa`n2 z7!wMPEI+=nSmB*Ye5$WteAa*ds*4CM>HE8QEbZkRs~~Sr|sY_H5#R$5U0{1g@iQT8h(FM)yTTW6EVSX zp(KxA&B&FjzK3{GYY!7@w_uv8Pl9pqI;;2}eXjbiDK!C4V=T#!*7V3^XeQy4q-m|t{nGG-T1C(?-OAOa z800_n9owKh6q%jTqp5$yI4D&_na&{Lw|58blDRin>vqcghUxg9=yN)aW-7=gb6K~i zn!*|oqwCfZX61&UA)!ZTSrTc*RSS+nyt3w1%J+Tg>v+?KK}TFLXLf_$h^2AQ7y1XH zQA{H7$78(GTs0FNN$6r+k;!9iVZCFvS1~-oIXr?yy@#TLVps8ZrI3#k|YZWVP z$bVKIHc}hGd<3&z+H!Y*aMqe28leYs|zn^w+ncYEI= zzQ^Ede-7;08h`s}PFsS`_F<98OG5sEG<8XilU4;*+y{+((kv9ZwvD~botQ}7V|5}* z|KO%9y~#TuJQjbrYp+fdlnyUo@j3rDZB3cismzx)EM6PuKWgYWpnQ+F8cz4pcYp!F;6k?1xABg6%O={SE=NqfQdw&A*_BHP3}0uxsD zxeH0WWvgYaXx1X`*CeZ_%NL(oLh(_=l&$U`_5Fl{O1QmpS7e~|2Fq&f`Tknk_5{`df3BhyxFO~X{(3jZIQq&!(n0hxd ziI`+>dI(T{vBXzrdW^nvMf7f~?`OwgM9=qetLviFH77vAGq`kgLN@{%#9GvB<{Yvf~^cnA~PnOWZ;35HDMX+jYX-A4D zT~(pBN0C1(qkT}%sIbhNt555ih?2ex7zSTTz&6FzOx86J9epmaQ(qf!Va`Q`!~!LW zrS#YzB0Pfqdo9A8dgLxEQ8N^io${dSjJtn1x^ec5SEHW(?ZXf=k375lZcsq|YFNa^ zjG_FxH9R)rp;AgcwRr|xVFCsfJ=t-8S?uQz)KV63Ul=nGfM1Hfw+SuapzK;(TZfyD z;;M6Ul~vA4%Bg?0 z2V)^=Q$EoqH0DbU-xrdfU{-@LQ!?iPl3xSFb47T#!x!J8qLLu970Vg>Q>pRtWc1!{DEn{ z2U}W)NvWrK;w2NbaXxuN^DsXPMet=^)C^4MdP&_jn|DXA#V#757+45*&;#-JJ27A( z>f1R#DVJH9+)(j#OB@f$BmaLDt%u}LCoYn0+Gxghi`lGP^3^&$2+1vHYh#|x$s4_M z`&;!J>al0?`yv@S{d|i2n%Q+oR^m*I061;gh*+_v)7ruE!ozi-ElJART0YN!1}(Vw zME|(GQpg**3c5h@A?=%sy=DuQ(^mES>6rJi9i#n7v!@*0j}wfB+X;Wvh6)2Oxkr9* z@_Ytb3F@28Z@-wHxz38S(p|m%2s7cM6^dqxg@9?!cksOkXT@{ebBawShGuHF&wfhp zh-5Hc7qKx?XUkk_pm3ec2CbFzGT$?Qr=zbgE^!y#K)(`#`^I$QWtJ|^!szB@VRb&~vt;>nJ zs}>Ym!*@&`xU`xBhP;KBVmz8LtsEnF z*WK+dA_)@fUBz*pIR1Iax*z~G>BL8CS;9z-DxRO3x{e zZ8OxXnAuJ5-3)pSL@yn;#I%ZTF3+r%5F8FYwA#^3ln8lWVVRP8$H>j8&k>wB94&>p;>Dvsvp@M8~B;_ z6v=b86xn(=DwugnbVg$bR6U++V-o+)tzPcaxW#7izJ9%vY?+0fmD@Ey@oRt!?CCw; z^{9xkq(lG;zGbJNtWy2ZONg2t%h}!td|thT+Wg(>a*H{kMx z%@mD4<1Ew>QeEk&bfQaCActKmn^=??w@$Z*|&vRVH z9+aFfSMC!6Ruyg>dl0V&>X7dEn`7c_lVzEfk&`ks8L{K^~PX~Y9dtSBFPSP|A)bHes9p0aMr>d0sr#bFCn$GVl1y! zvgxRE3x!P}j$7GoR3BT64a49ePr8@b5vOEgr4g^(nO*{p>~7iHN=ER`>J^1={b2JvVhY5a1TqJkG=G8pr{UdSInN9=`6QD1TTNTIcEWL-KDv((G*wAk_(~-}`_!g_ z_ZjQKHfG-rxqfo&^5cY^rPI!y4|vviG8KmUH&1;np|`<&H2i!WO((9=sXsH~&K@1v;AUrUd#bwM%UD_L7Q7Df&m8)N;JR~CDJ_zc$ zv6-~35A9MyF+IxiI2KG01j~Qv3Pk!grNDv)QoEYyqBg!0z(aHcI=^6_WK(g^t#7{- zWhGF=Jj3)JO@vY^Y{pxc)KDS$%49dkk-iXBj7X2YF<4{d&g+O&n*M=bU;gsRlI!~@ zq&Ax~zl;JiQ0>DzQ+rj&!@0=a8rl!c9l|efmLl+jiZx@TA5J0`mt231obzO)31A4gsxz zd8>vj3-;eEt$hyHd&FVlM^&#Fifn}xS6a^WDBqm9%splKb zpGGk(Ml}y{(o7iRY65tp6B1v(eJc`E+##s5sn^9P0jk?ro`-)#>f!lqSp_spNRCjJ zOS!C)rMMc&o1{^w@%Vxpg9kCPT`#i-J*6KDi#_MQ`ScT9!6MoWMfh4r>vIPUckI@yu|rq|M2F+1~V%c7xvZaUHIBa-1<<= z&99?}{`(+L-@$)M5$Jad?@5*_?qJja^h4;D@SEM(6eLjnJo?M%Ek$AYXTo9CyO<4 zoo>Skez0K`BVa$|*Ur|Axn#jSW@b5GkpN$Q4*ga;xS1BdLAl2zCWoxAfLvfGwog9p z;#>Bk7b<_~rv##g=SDdgWdu^&`hA(Dm1!2)HAfDCYlXtl z@`c7~KRCIc7e9SrcF`$f*!1%|u2t*uMC&x8#+qN075BUG%ovL-@|PC)4nkjhU%yoHr*-kC35BtdoE0QAGtMOET}&c1!6|bvnD&B;dLA zhO)3DpV!B>FnYa>&*4rAMp4khdz%)09a(eU-blRfM}#2AGo}W2H}5kl?hm!CNsv#e z&ryxS%-+SGlGQfqy&CpCR`1_^IkO?)j3Bsv;tlsBBsP@l(=^<|2yYHe- z5mm+rYL>XI;#2SOz`OWlCM#q2%sP0-nL>uuSKXP%VZr@Z|;Mnsv zg*)pDr9H4t3d)$*^LF+~35M%;O48Bt`E-AXD&*O}XYM4U+6@_r@a&i8MKWF^8THGT zN8Zp@K_FDcc;x${oZ>TzO}CqSMN%mgcnkdo@x}|e1ZRH1kn}L7v z=Z6-e*mt&?r#js$)N;3nPOx46+zi1?oGbVF8>KLTt$^~9rQG2wx7C-VYx5(|V!BP; zi!h0Z)bc19n;StdSH2psRiiiF(fKr=m~B{^2ZQ(P*F8+Iu?<&DrZzf3#+Ly)(h!p? zeYQ|Dc4~9Y;}$KRh{atij*B4)30r^6$)~^QcWsah(UUX0=jd^hqV*@Eg_nK>thW5@ z6oKkcT8h!T8jK0cPm@S0WDgj=FyACTA1SlOchCyUv1c>?j+k@H2$vheaSUY&p`VkE z0S4%f=_?r$3T@lxsOdhzYe=E8(;` zpxQ9S%tx`ZdThC!L%W)r|8BrwN@P_hcK~E$4#Yg1XhY$Eozu@*?90~c1}dKYj%rNv$_K8 z5IS^JIDEc%V*)}CgsxC*5QQ-{+0atKw!&eH`B_B*dHV#17Ud~diMe0P75UY%>o~XP%~a$kYLUd?1W2aA>I1a$+YhD7q= z?8;^qez6H^{vW6z483An@=nVr9uzdKPQb4Niv_B4KX53o3?ppRz23 zn$0$)_66`1w&IA{@aLW*xuf_#*-{MKq(PHbQZ0L+hi|}g2ITl(Q>*?O-YaA>b_ah% zrI1SkIx|=)qv(HNgKfmi&yrf)&kmMDps%0XY^ZSOy+sI!{y_p_(y*`zA9doZA4)8& ziaUQTBGp94ER37MTY_51=`4oNl4BW>2YnOr>olvwug-wM4NTm89u$l^Mq$sab&Ex+ z>@I)18@TC3zqYBHa(b+ZCEtofeo*42Ks)MR20_dncISYiVf1v#eoIXv{>J@BVF#+Q zn0ki1B%g?Di@|1U_ko2`LUFg)Duy<8UG0A-me8b+Q3W&M1`9}g@H=!Zb|z}lRf_`^ z=*6q6QUz&LSOD0!5O@ii9CTsgQm3uR_er=XS_bb37rsgynHADJ?kpSh`dp8}eImo3 zJa1I?{W$q?uz&j$UfIp)SRz@2WZrS&U^02=BaBueFWR3N>lPsUMndH%We{j?ye*;2ksAYdK3?;$sTC02GK zw0?L&--pQ zQhVlGK9>*8@Gg0YI!(17b7fSG-5gOVoYs2Alm!ef8`pDlm`WS!QZQP%R<*<3N}l6gA#x=!%Fny-$L_7=o$ zXSdoq3<{74Hbg2io#DYQ9JMn@jf!mfqHF_d9v>s7 z&CB2qcyx%?k^^w(Rna%9r&W$-mChdGs7h8~x9`P6-RebU=H-c9f`5O@Jlsp^N5g34 z0CPZ$zw)1dm_###OG;@cF59Re6|%Ql6N#hgmI$0c(DmM$F@o(~_0-LTThD7x?}oC!(DUR$yB{`{H6n zFlJu3BwCq*FhF_Ghx-_x(^Hv$NJFRzZLs?*UyeXZLoMqO&@s$jy^3bPjVPFj2$iP7 z_c0e!9hEmJ!N2j>Mar>1y}X|;tVEPWWpa_-#Trvw zKdkU*U-!U@wKqZQiky;HQXSE@jaNGvXpcM2+c41X-+g`y;iAw_Vr5o;Uh5Z|pWjO? z>vg^-6n^diU*bm>AF0||PDatiKYj!-xsddgH|Lyj8B_+9Ev>(^+OTp6@q<(Dhx%R; z3!~0Mb=OyM+L*adeiiMS7qAmh&(~0r@%F@0-spwZd39|>gE37o8Bxngp>SQuU1E z>4FBwJ=D+Ny$TNl!m~!b@eLw!U}a}_#9R2t2OU?~t0QOE`T8@zymdZS~Nb%kIh=Lo;_d6~@ zb5+)6gcujSTWE2P5A@PVXjEG{C>vxBdX$GP7?QMY*{y!QC@H;#Z~7N+Fifr<#z!#J zW<7(d=S`P&=`rtrf^oWgA?@ka79)jjzLq>KhmVKc>*IPpQNDSK(ExjMIfl+67aPs# zJ~p0qcuY8^GB8Y|)>Wc}x3WCqo=K;si$$$ub=#=Z+~%tLr`?LTP=R!gvM6srf(1E; z1k+q?F}-}BS~TPG2*~lbn6$3uypAsK+i~0ZPu}Xk#}A`_6CRbWCXvOINHF>)_cJqE zfz3tV!x7t4NINPIIKD0WaGU)tJhfaexAQEmQ`*471mKm;7z0+14S%MDVf$PV!U(m) zW>JA&2^$?v3LTKKjvzZpq`^3=ijWmTOUszF+Ze$WxDbXsT$f=swpogMa6zOW7(GHb zU;A^!&PlL;p45I^uk6ti>898$>L>?RvBk~?-dp-03WwGzm;%{RggZe?zf)uUj7k7E zQdD?4a$?xht`=PtjKe0C&9Y*XQKlVjHI7LCgk#~@B)W6%>2Mk(Tfr{>t;R!IEHlNg z_xhJu+Tk)nrjhb}0gey+0+ZdhB!yC8A@>p*YNy351y=}4~1lybp1!2*@o zR!yfNdtzcNR}_kc!ExsqNAM8B;*wB-OM83&u9V{%X&*AxJir4qd!k!ZAj3NN8h9!#7i{iN2UvSW2pQhXy{7W(q4V0fp z`D$;7o?IW8OmTrKSy6JC-UWa}>(%FNCGmY_dhvW8ie8jQ4jpNVTRwZr$WqaS(N10> zHtjXc`e9qsBr}9MrueoFzU!m@By)K{!^wlK;(c{5*`vU*ix7{?v1q9?rCOiM_W7!w ztRj+uJ3TD!%42bD@b++1K;upoJ@M2dn+MX{V#ognN^)JCm*M#X6PMZs1`e0(^#mXW zK~+;(SW1_lc?6V~`%MH2mp^(0e*t%wzj_206gfE{Fd$M2K0XR%Ze(v_Y6>wjFqdG# z0~MG5dIS#xH83%k5qks{12Z!m&2|EA{oXl-)L=2pOTmUtoF+c=p1YlwUe=u@# za>9}Ugl+BI9n4M5oB)(6ifUBU)HMHP`Rf8ObpH?J^QNP@sSSYq^T!2fWou^*v~l{R z_*(ZY+1o(_9FCz9Y zola&3PJd%Nnt#FoTa!;uV_PHVzbXBLebRiQP6p;SjsPd1o73M|hCqO^f4QTbm4W+b z>`yW~2lIbqI6Io#nEsan8h``P)WE^m3h3zgN%P75w>tloC*c3c-oVbz%Ke|)w*Pqj zrv`H;N1&An9V{c$XG|lf&)BBsHn8-6heguH#1_EF@GrZuv)zASE{Mfq>aKO6OrZhBP>RS_XM>i=%H{^KeCIRj40?slKz z{&y}iw#NT?_{$?CWa|d-q-A901kf@wumdv0m7Et}zJ`|vl`Hn@A z;p3M!eg4EKct0){9+(@=uR7;939}PA2g6IDisim;T6VT}?4JRKuIGh(nkOTb4!k&v z{AQwuxxB6DJFLY?pS&ig@GPC`L14DL0;eREX}Ks2e@!20Sp-~KVtlgIEx`~#pgHgR znx?j}UG_1lNorM^FX}rUSk~=r3&XV*z3i7&(3{12&zO>vEmEUz+20i;%{Y@6NKE6( z8Q$;&elGg5r=gN1>+DqNWOTWEr)@q{c=83}vfg@>vqL9VtA_qul12$9oVgYkUA5>P zcO_@cf4D?7eH;~8?at7Q^3=m^nX@_&=}bvhm?(Q>$+39 zC+fOn?aZoiAwD^JR}?J9w;R84&T<57I9WsYZ2!2PLgINxzYW;255erPy)gaY21T7b z0}AMsjr8_R#;!8f29s|0*=-uUGE>a*4P_e(fB&DPLU$M`4@fl`_UiEg;HM@ok}df( zjvK1MaQT$)O1Q|3XH?~VEJ1SN=ZgIvD!a0tbRF1v*}=;L+O9{^x;Z4*iWamVw5?*6 z>k6aGd6o1({ZDRnFO|8xT+^%IH#H#do2+bi%mREeR(k5FJ%>Qq$;%wB^9TZYJ#Ong zf1K@8BTGA)FSQ;xY|CKm1(0r=E56H-w$4oy)oF%Ly2*i~Z*-z`r9qKq0Mf%H zU%$?NNBC%F$x z#Ce5qqiiA-5Ts+HFpJb7*coL&e};0!UN!$cfHNzaCN@A!KmoZ!a@LUSn@&Nf8+R(P zTiL6N57=W0QOR+v-RQ_lv_yP~^nU|G&nu!Amt6CertfXDs>F0>WxMx64?ClMzKNm$ zBysKZk3?He-$;&uU)dHQz+dT;eQ~dWfi$$5Yr8u{qR;fbxpd&>Ig5mSf8RuOHjtAg z@KQBTaF9X+wAbn3!c0tDjnbX|i6#)Q=XPDRjq0co3rR2R6)|fDJx5-KFx!evvVO{h z?5D7!MkQhWpp4isREuSp7@rETk)@IhK!v=c7xI(1EN_@;44XBe27|xU)iFZJg%oY5 zrdJt`j-;_{htmJEjYttbfBwCN!KG~GjsD9ygf9*!38vIwZ`?O79&FZ0lK~K)b#q{w z(4QBKtuMo{Fm|lUsRpG@zg_GC`2(geb9~@A!=yvV#;_|vSZ%-&-w2OeBk$;b9S46b z_7FpIH6A#L2?FwAzJwA1T7(qqpEa927ovVpfS9?gnm!!r7!SL?e~j7L`N||SnM4^?;Sv=fLT(N#3$%1lZX(4uIhW zPGn3&e)kEOcby;Dburc#mtr8*H(|CIuev?g;mDQ8u|?i%amh|>T4c9CnI2h3ZR9RU z>V>=;q_5aKe8}#pf919$rbzk#95}nLpp^8i7LLk09=L+tz?%F?O}7L^8`k|Mw+)OA zV?~dk-rUJ1Qvx9fWTFLpxBn9&V@f&KN6TT(a`Z0LZqH2?W_wIvr>b_&&-J**LT@>9 zyLRw^G<3IqF2Rk~*6nbtl7*i_z~-ypis;LqfYcoUk*0{3f7aC0`l276s?qZ1J&DX+ zb~oX{GlI9^zX~1q+nDjX%n6%hx2IJ{7`kj<0ao70kU=gHNlD^h6ZFl%naucYLDSWsE6%xY7IVAwst)~+gdN~Pa1pm!m?oZK~pY&6S5gRmkOH5!D)$OT~L42^Obs{l8Bc2W+4@`d_ z89{?bAqy_k%H_|@VXiO|Xd`9#Y}6qgw?ASbqhp>=e}kRF2D=VZUagDNwGJlA^yypF z_}U*l9C?!gx&9J?*s{AF60Pn^+vuUFXe$C70$Spd;BwKsRk-ZQRe8+v9_#iI6nRMB59&SOsIV5w02RXrSd0R!AsT@gD1 zG3^%L?Ue~aTHa!AUa>3?B9gR!x3WhY1$kCEkfWlPxNNJ%9ol-6jx6lgQWW^*fceQs z)(7oMlrg5t%o9-13R_vs@vDxowGFTbM@zPOe^TtmNj?5iNrJuH;65)1n2OX-K|>`t zPM1=SV2&RondWuDxz$+eLV=HNlyJ`#Yqnnz>=Mx9_+ZTcij~eFEvx5n0o|cu*EAOD z;%h6ny4%JP97!2ip1N14dqFdMIw3AT$KFLOM>lDZkJxd0wWS9OfAHib-XSolfVCNX ze*|IDb$(Ci;8@2YFdcestj+&SO;upU50tT1ILf^W?!iKqm|g85t~`R47EM%qM9aKk zypz1L6qmjdu~{M}glqPhw^!g>?0tXUN-MBXq-5$!L!_89;3R@_N~s&v&#Cnwu-V?` zX^n#E1{2(upzSKx?18Bp02|~BMItPRe_qtKw{&iH(xl|LPen$HqEgZ)j`e!n%cRL&DxXH@p|e+1iu zz@$u-ZN%ZL2gnYw0nH7}EG2HJl2s(-BlU?kW~hS>it=e;^_(dG;bH1P7QxZ8FnJDS z;+Ei*tt~0FBgGTV{l3Imf32fe>UvEt7wxjJ1-DI3&ti=2A_zyG6_&gyFAj~$?NuFv zZ5V<{kq?&;PW$@3d2ujaW466>e`WoY;Z}$RL6Z+y(6_HC2IM{PrtNML(;HUI*e%RP zi64_L&QMJ}<)XH7Fu!@mIs~@t26^30kU~Q?oQUVO@vxy{a_SgCvK2HFyKuHus5r&v zgM3jYXXFhsSEV{$J|GVb0*_uu%|!mpwSE;QpOeQFs$ASqS#QakXQ!KYYu2++8h@q~{*5aKQ66-I!;U!0}t{qfjieEhS)^+@Rs6`-_e;i`sXl^F3I8{`K zph|t~Y(i7q0c4)S+7d$ul(>ZXfVJpYL4^l2C*$&{9x1WfZpA;3dB0)lO;!#GyX*XE zc}h=zWNAg`H2}0?(-s16?NLrMX&aRZ2Ed>0#Uw+&SV%n-bBnxv)1ZAba7Y!7iYrEH7o1;V z@5YV6%g(W^StcF#M*4nmqK;}azD-R&zTd13V*0*ZL)SCvfWxFYw@O8Y7_qk;na0Fx zMdT(7-z~4DQ@h9BaR11*vjLreauL%kYGeEQsx;_sxcqeX^HzTNoJ&|m3CSB8~bLN9-(t=h&s2N92rNi@@A+d z@)w$;`e-y$f6g#Q)esUY-y$3%Hr7|SJ}^Ah*t5J65sr(MuNA5)9bjj@-Urw5kL;Hp zPdMbPOtG-Kw&fLVDrKrk6k?hS+qF?&uN-Ek?qSJ9)j zv9{YJFlWrFDG5fFzpQ_!*|OgnL(^_K$mb*Brf?aem`h!)fS@qp?zZ-_ctiL@1hiqHqXs_M z?JN5Ue;A@^OEsK;i);)sA5*`#7s$+sfo+Q>(AKJw+KDiv+BjC^e>^84L+L*D$=0t}T?BNk(+i`_E^fOE zqwW!jmt#3p_k%Vyi~r2|w<76G17w2&&SoTuX`~o4x2=Bube<`cX=vDk*{@v>Zt3 ze}u8b_xu`%KRiG1WN$IEBdxCkT-f8JhM_)>~7oFA0U>8JZFk#qc~ zRK5BAuxbbZS2An06mJZUX$r*7QHUkf6;UcAAc9z-S@qL;Wf5QNZT!jDV$m`JX#w|4=t{!w6vIshZmDedL zWU2Wo;Ax*eF!h_=*ojqD<;0kUPOoVzmPqpTw&y`OZ~f$1c%LikV+73OrCa-YqtMB7?+r3&m>ie@gM_(BStO-ges(dFxbUu0IJ!3G+JXzC@cE+We}V@& zpKP7My?sn{tVcwnc^8P)wp2mQ zN*3Ws@(Ot;zHqDZAdcA11*5BFv+P{3Thl+~4sI>sqfsRu7dhMeysEsSj!5>M%N_@| zklC-l1K(o39|PO*oW0$-9&%bZe}h}C%I0nfV`+<TDqSQIp25fSG3U zMIVv76T@~XvwS|`)$+Qkv5=6$Flg#46zND$ue@L@9y?CJq<-ImYQMf~M6lxpG>kfw zcsw9vPU3Fcv&UC*>Od>Se|bK}VaSBj(w_24Yp5LZT@MZ0%otAiE`gb9w|Hh2^ur>k z8l-Ir!FF88$Wi^0Gv`N5Np-cS+;8~RRRkof0+p{*UT7za_W9O&>TnK0y95Rr6mJ1V zw@v#^(?YI;EJo6+Sc+y=HG+Gh@k@Y^4w%$LUn;K_bk3lyr6*kce`{K!?~S$cR?@XyhK(;(T34%7d~G3>)*{GV5}q z@uNSg!rBYH#dv#IjaMV8bQv9_%UIPW1Vli836_zoqPe}_%k}09xkpu;hP@NJkjA^) zBo8O{Al~qx+xJk~e@qyXUMu}{vF<9X&$G2mX=k(J0lUygXhap}G`to5Qxe5-R$s{? zKI@$uDoX-e-4TB@)}8c0Xi(CU_^u$d9S=WwxDrY_BO{Yv5QQ!SQ}(kZr!FJ2{|Dw{ zwSEFAX9ZVDLd(!`6jJ$2-Rd-S!INP}5drnt7h|PGrw!?Ff7)m(vm1Qcw4gG%VGw~7 z%I=9$CLuhMh8HNCTG67Q^4rTD)UCuU`D=7}L`uSqvtX51_*j>@ff|O=>9L9UW9J3f zM7`W;Vk9P4AoHa+@7lURU)h3LsB*jlCB%vEc& zIgg&f*+V?7#pKG(ugsN-Vhmv+{?WwJXzD}RW_85a1-wr9tcn#DCJVK(l1($Do?r_X zu3+)G?mM}h8S}q?3C^AN-#=Lbp4PBwjHI>_?JDlMe>?@&JA>!+)Sp;-{CTxgCdC7E zovz^=N#@P%wPM+8R1{p43=0=w*F0|5$7c$X58CKKi(y_)F%~GHPp9OB*d#_fck6%a zk-MoF%d9*s0Ejkq$VqDmmP&)&+@Xkb7g63cdh!=AsOM@l{3mEEK{0xEEbbrWWc|n= zl%NX0f1o6pQ~bM1uDV@R1(&B(0KNGA3Qx=`nClagOJUndbEjH0Q&>zJg`nzYQ2aUB zEDu66UmD!l!O`L{o3A)kQZN-P^Q~&scRL6TY;B?Y(CNwUv9OL7>PcV|o&s?{+}~b# z=WFp!>^e};*RpW2S8NXY1Y=H&nse{$wzLP<5A{eSa4JbDb`a=`*A{R}CZ zmR9ED)3B6q3Lt7XtTxAfr{U7xQc9i)oYFxfJ4dQl!{oINxYHel!webZGSa{%LMVlJ z<1WK%ZhIec3b&Ehnq;MdmNpnrD1__apELtZS=Q*J%cueP|#ZO@qj! zf1`UQc;B!|#u?oWMq{EjI3=v=OJTqFKgQ?cYrCpRY$nrM%pM;f*h3$7ApJ2uj1GRg zdClwbmBWfZmq0KmdOeq7gyvn3t|W`uv9mcJCja)BQ5hb=gJ+5ui=uFJYSiotf-Gz6K!r#@er}DO*&6@zo)EALyou^3O8}~J zK21h0CEMH`6mFK*DuhsH-wsz-r6>aLX*nyq?0|>e7!llL z)?uyA@bNxIfYPWVasCQidpb{r2pc`9FQ~kMDWGP1J&gC1TYk;m8tz@e1x{KQBk1>W zy|A}<&VV8&_+D?P(Tlk_ts4z6$IZ}`@a+8OLJmnL=@1xTs+AAbbf$%z(V>_5gJIq~ zr|$t_OE0w$6s}O`P|peDN9L@te-!!8Hp-bF2b{fmr*2ICI^Wd zEhD6-xlNiDL0;do+KCnBO>Ii04yZZHqfCI`FkxiJ%y}#*-xXLT1B4v&{IUQ=cZvB^ zc3217SIYH!%-{lciMpd{fnyn^1#$$gb+1tmyrJGWCh+pA9}Bl=*h}(9ZNDx~4LUw1 zWa&Ab2ajJ;^j+-`zWJ6Kf5^iIJ_|f_oi0X3>@*hB*!Ya*pta)B<3zL6>X_gl(b_AF znBYYP3WPgu%v1vGHo_l+F|&T!FF{dbYR#t3GOvrN?vD4;RBmnsKL&VEPaK4JRx&oEfA40=Ej-W<}DNXolZSH_3Glff3m;Z7iNoTw-3g) zqd>NW_Y!-q$S@Ex-kGs7Ux&u#D77sR&Xcx`*%ewgy1uR59_9o#@E#%mlEDoYJp4?Z z4Q8^x!+98Kmfq-_roV(?OaCw=r68s0-`7Y0SoDJ~lF_S3i zR&)W1y26i&g40Qvf5y^bOp;m#v+z}y9-af2*v$NDZVzdJZ&Z2(VCI9J&1jx z#xUQD5{Z{x&X#dXG;yf-IT~c<$1PYe}?V}ZP|-@MJhvzYKn&q zVzsZJ7SF^%`O1`ox#xHmnlUgSwb%mgYNcK|02tXiM-AQ;xD~&{pa|`GVDxQh_6Sh! zp{-Ew&i=FkvL5%xb>IBW6$Je3qFE~A*8rS)ru$jMrjX-mbYygn##Bj4*oE$a@h&S3 zzN$Xn*ZO47ebUGXE;Qadhwi5{O;uvLWZ20T@=^S(eabOn|MbK<)`{Z z-FPlr!&c2p)30_tvux=5aQlM~J!^cW89OWxe~3&Qefg_J^zR6qc1jt#5DaPRCsB*3 zjt0BFQWISOd;CNI%b@o?{(HFXTAPY8E1<6UD2ePhUlI#LLLI%hHb7pq+Y?S{D1E7h zBaD-Df`jyd7$ze^h#n?}gAxSD?%ZkJWJC+%Aqez8gDYM%A=J@zI#(2FF3a=Rh4-+p zfAa|zhbn=w&pX)s5{kKM_iERgt0xzvezY{bmVx-e2S#)jqmI6*6?JhEAL9h0rxbGQ zv4nt|p5c)KgefOKq`H*g#up{wJgjfoDPmlEe2c+CLD;KKdn}Q;%14+XY}-4()RpfF zjayUR4gP#co=Oxj&dd9~KczPD2JVqre@gmGU{4DNFAJxp;wx4iJcI#wT$cG=ISsa^ zou~-6wP!;KwBG`dp@)C;$5rwR2f59f~YfA})P(k@USdrPFRWlH1jBljEa%yp((+K8pe}a?D zJkkaLDJ3f&F;%U`Qv?QSNr*^@e_#kt8RoTMsybf&atklSxg1rr&R*%5eyxSS=w~8t z*4Hk*$OeEE+iAxfi;c(p;XH&ngS>BJq`Z?XgYJLR{NA`c+Byr)Uu6m#MD$VTA|$s9 z5}4}HN@}4DTxt%DE~iYG3|4 zKk?-_LLJaHAFFj+TCKaNBUV{&OY9W#j@ZWN_|PviEZe+x|w;Co2x z2AaBvtR!_Z?TaNr0Ol{aP@D>$tAWn&L)jb;aR=$i6)4_C*~&-Ogl%Z4GHeDo7=)Q_Uy133ExNdr z8SAl_ckiwm5hC6!>#T7Le~dZ5CVYW#PIeubN22w)TOjVy9(|8apRpb)29e=C9xam{gJ-pl~#H0kke2{x3xkK`) z5Kog>kGSenlV=$o6g$rn?u-lBYi&gg(bX0Yxc#iui5GS*pKsV0>7gBQLyoZ_gi;S+ zw203&!zEeyeH5Mre}Y*A%V2g*mA(o!vEGl`t4Fm=j56wtn1WCACIURQVv=J9&`#;C zJvK44oDz&p7Ky1XE>!*?$AV3QOxNeC8)nNhckcywY8~A+@D|nJf&cM#0dq7buC;N* zXUQ!_OWZ?-ZlXFw(x2q;yP83@l31a;z=6N!``QT=Up1Y-e>p-Olhgmf4Lky?W54uD zkCFCX*q{S_Q<$rB&wUK*GqkD|$H8&!?$;+=YIPKHW0h_vrJCmw*aPMi&V8lJewu!9 zg?VlI{%8HqO*Ya#*(|M0rw_V9l1DaKoNq{FZa-{j@(g96AEKqp&#*NJ#xDgx zD%&!#bPp*smNBQ8IQ;Cm?nzw53Ju9=V+K3-@exLU=H&ydW?M_|Ll(-xQkZVPbM02$`-tbN#`TR<>-bL5WAfdusF6 z+`7Dv&GzgvW$LS5lnu*{hW!?!O%$ifAly1Pe^+ibP<;Q~`GpHKF!TUFN{MBfVj0d6 zuBGPY!`TGKa4rKG+-dJuF-kH=a<6t&^62o;j*R#Uro>pNph1-~> ze*&vwLuaAU$*@$bVR}~@SQHOmBdT$}QR}c>w<&!O`<*%P$JE%KcJbGS9 zhGU8ALl4flxzS(P0TN?JHUK=yr@S`Wzn<~bSAc-87CB+g6 zAtgNy{c?ODJmPjIG&rJ?q&!)pZ+qq)m8pF$8rRn$e8AxpJW$rV)+RIBG`w5&LhTycCw?cj+@Sa9IO)V!h3+qf4(;b5u+eR zYBj;*8M=%%p!ur^n{IZ2rqn`P_>B(X}3s%i%B=-)Jz zCmnQDh=b^qS4|8F$QKVx_jTqeQC(hw%fbiX_ta_3Cbz_p zb`sV+jBn2qr|A~%%8Z+NL5MFbZpMia*1%x)dR9k2C<0! zFEi=z>KNhIaf>GReyaLG7$tpJ)eU6RW4)%2Yf5Gn!Lt(S3MIP3 zb`)@f&g+YFM#OPY-QWgER?{~_qFl*zx$4?Ct?ouBs|jiFLlKQdp zkbQF#wH3HD^qVeouowpTsTGWwVePU^?4_x<&Tf&2DAvXg1<_2Em#v@$c3%*k_8v@4 zVji{3#Bi6pe-ux_2R54$pO$4B0(r}zs3jbR%r=&X3F~f?{y2*(f7XmCh-9XWad@=~ ztA?t(-b4X$X;$C3`Cp!oR_$4m@Yqhgmz(bB!ft6_N|z&yg%!B3=??7(pn^jXD%n}Q z(~EVv5+6-74&3b7rs@KMHZl_+1z2E;8>heZ&9+}^e^Avxv_RtGJwjsenRA5aX9TA- z3$>e1?gS5vY+7C_eKAiVd}ah7yf#L=wr}liIYH* zV3Q#7$ulhX0h`PK@c^XTB$k9*4bonSkEqL+ufqokd{x}CH++WWNqVbtD*L*7uNGI* z*gWZVe{vpy;M{E*bJrW4Tw!6f5kMg7VFd-5BU0oha<-0}LRegbg(d;zG0^aVBFhtZ zDhZjcL;t;JY~5f!HBv<3&#+pV0#hm|p|U*BSPbQ;Z1h@{=aD!k^l?pwu>XWiB`Y=- zl9=|lTW-cK)IJkDRxR2EB=jM?U`JnQTDjYif3$7JRY3jP?~mV!3FxEoy>G=$eXzWG zlzbpfIP*VpQ~rdU#YI4%wND)OvVxEF0BN9D*eE?bQ6j ze|0WGNH{<&a;$i&3)C9;6;4KoHmJL#h4jQR-uly|q%xw1rE-=PD9<~_IitQ_C8JmG zTVtewC=Gz3P$JuGs7vdCDJp!7mAov+!LPp%b(@o=$m08f9y`1^H&qQB)Pde=bVAe_85-WA}CakR!%I# z#IK~<9kZ|*`ERKNCjxjPzxFV&$;l=3MQdjEEU`J!A&w^cug@mf==5qZMX;Z6F`%YM!`&r zV|(yfU|+lf>6p}|)+@G!#6~vS=?H2`ace#kzp+0p`E~bVMqEv`)JJd)97A1oibTBw zj}1VNcrrn9h?zUm>+Dox$p|6>Nxs%`?GjZ5cg%caUwe-&+g9*OpKr4$e@y>7HevX!S#Zj78POcXa#RI_;=`s(ckiagxW36bZkt-kq==Y!*>8N5*)ixIBQcA3?}LhD-$<0lNfj=d7)1a+ zpr71d|EPLBT5kDR+CBb3-J>79?U}YyBU=RyoBWV!C1Gknnxf}@)?n_n^V7W3tVk78 zj2pm|g05|JD&DR_8T3f?v z!O?u15UqVx`zJqL67^PKN8lxf5RWG4uIiUb)k9WThZi7^vnD;>NS;j7+Zl*TU7E1+wfl!`Z^d@Vsh{E)o-$SN z_7zi)sWffc*1PV24w!3&_~Gi1+y4T;)Rb12;rRm-12Ql&m*MpUD+X3sRzyNim!_=* z!vSNLg{}lGmr}`-zUTCJ`rL2qAA7AipY?FAvBxGSR#c%EHnB4@m9PUk(=#(L z@c`s4ZH-)|$#QbXIY( zx3{r0HBmNovU71XHg)0wnElP{e@6v~+Sz+JTAEup1E|!LHK=K6>Hdu{b8~Y8j6D8} z0f?D8S(*a@6n_`4rZ#r=|A_S0g`_Fa)bVf9CjYQiG&2-8v43>_N4Wqh3ukA09!5rc zGegtA7=x1;1JKl&k@|0<;y@EoJKKLaaDw|s&%`VpO^yE+<-z!$eX|DIxdFZY7i?w; zH2G&qCNB1jYCuZ|7gK4m|7-jgg8MgSZt4tRXJTREW?})DIsi=FjV&1e5mME|-t?a& z^FLripox!{y?>oOz|7Fb$<)Wv%=GUI&dbTr)fC|D=wj;Q_5UUQ=MIjU8DL^*>;z8{M%gK(AE^dC?+Q- zF0M-ZpSAzDm57MlUzhaEoNNGk7B2R`O8M)No1OJPJ%1`1TK?aRnEriO8faz*;Qm+Q ze>?VH$-A05I{j54fa;%ur3U;@Px5xomd2(4s(*__hl!o(uRi|1nE#*R`5*lMQ$qh4 zL&C+z=AZRb{i|@m-^!f;a)5u-Ze!^9zswD7Ep0sh9|!)^P{Z_JI{*LiBkgQxV`(f5 zG`IQhHh)<12RL`xb%*CKZ8u&d|Uo&x3FcLp6QF)Q=kqpNX?D;8hEK7W#g;F12sR= zC4a_b6&RKRFVo#T^Fk@31>b!B^sTBB&0t}1Km?K9Z$4BK&bj{D2v4(&lc#HDu7+imUqP%1}}Xff1q=QY6O96 znO+!ZaAs8FMiRN{S(cqkitQo?ExEnB_J7JmkebE+c zV^(+U&{edrOLk{(9HdT%5JU;z&#oph(3Rh=`P5rlMZdQojYBf_H=7l=a3SASqro>z zzpm=ItOOXM(`m~|0k0+8z4s~(ZacZcj!mk(FDc0-dEjDWrGK1d z#A=TSJ<<0lLXS2Zqw#DP<|CDtt4=P;fcnRfJ~-f`Ha?k4e<{V~g^pu6pZ3U$a%nJy z2`$OO1pX$B2tVOh2UCbLa=Hy6rG`nw&qDCETq((uh25yA%)E~jRbPU-CSF~DI1DSiz zQlnIU`Ehx0b;`PgkF743h9_HrFnhWUgaMTRpil{o`6EnyFEoKgIfsoP#Ur-dn0_Pj zEY!wSa!49_d>fX5zd1ir|mQVg_*mRGX$pPk$`}Trud8 z@H9-sZiK-75zBqkBB*E&7$%*y5A&cw^)nl%q?kSt%6(#&{_#@48O6N*t0Mi z+UhpJJv7+FJOJq8PHnno^E}k3Z$tYuP(IAOhyBq#St=_uGiS+AnC$ zr()5ow#z)80VYtz5_>{?DS!Bu_QFPYcaNnAGyBw)Pc+qJv90!3GrN0eu{|2wd0v&?+HSa zXQjVNz^OZ~iR2PfzeJb7d$c|@3uMi768ErMWj)rGcUQ`4Vk7J2cYU`EL3|nGz;@Zagpc#I%#t`K_9`2 zVeGCC#kVCbyka0T3cpUDx@;??Ir7eO79K$(?3;PP+aFSXn|Wux-(}gFQS{Xwb^#q6 z1wnEB^5-f(p0dZMtSbqm2rxS>aSUyz(yaPM8%nHK_JYJ-cz<4zFNjcq$2qXAA9p<` z_2iGc4X>$m49GuR(!wsj=#}DnN=4B*K%@<*kB+~E=?WKvTwo>fPovb?8b8gQ7oTK$ z3;Q>2t{C}CBY%E%1t0NJ$t44)gBA6-v#jnfAA%@PcsSLZ+P-3NH3^b=x}1xY%zEiJ zYC>G=v}QOA-e?6`shG&^HyS+MOb54cJ`W47P{@ozq5N}oAAd3tB;Q6e6E!0+QbBr=nwz*2 zDf)dov-3r5;G4B+Gz~#(CCtr2?#%UAV^+M_rTXZ%^274HIk>DrdA3@WxKYB&0!@xvA*1KOOjAB zCW0wX1b@P$A>+hjHh(p?Df6GI0Czx$zttzogzaQ^6MKOJraw(gG9+HUi& zIv1rgM8ibG5Rb-kO;AYyg`90z$UhrOJ49VrJ>rFV(?lVpPazHAD3961IQsre)#rDjWrb^*Ke&?}sx7 zdSb}?m0O(cr?vopmbNKF^7VSa3N^RG+D}gZ)|e>TEP{*{$^TIHM$&PK^`wkP*=ph6 zk5x)0CwT2mG1O(mOsJ;O3GLLxJTcHgim-!$GB^FjpK|)i>A}5!q>9&7OZaV6JsIX~ zBd6ntC@X(11;iqJ8a8dRMgjPWkM@VnEOZ(%FeK?N>o^G>k*NvlO_H+~yT7h}lGVaS zds~dQ;w@XuYOj1MKWaNEE3{<9wtjDyO%PkSaA~z8VhUs-s>El)f}ML#BSAHw%25>g zWCqj|og!RsDf$R-Vz>5%M^8xQG7+Ue8zT{k==*tXTOus00ctS}uiIwqN;t@k#=OY) ztP|Qyq}@-z3oqw3MBfRAwL1r2u76xVPi9{8*q9#N)|R;|a_+7Hdg;w+9nJ3vlTyu{ zvxa|}Na5MF9Lg`O-qrMSHjtfr3LyyYv*eW^jER=V6e;pEZT<_1za>zR&asbw?Pznd zQ)!z)os--M`ddjZMnKp+C1^}GC|SHRbf0f9U;Hu=dpu^8LXyU~VL-g*Q~wLjPv~ff zD=b~hq|171H5l=r1+n)>N+$8FdHGfYQPY1=Z`>8@i&FiB4gM4NJM`+lGa%P(AqKcA zN$hN$!7c6c%iB`<)l0ZG62@6kD77Nr~zmFZcuQW;hR1vW0R*JJ>&{#M6KE zqcEvYPzFo15W(@P8wG=2KusHkClH4Zo*Gw)BzJnx05(TeOXG|8sr7Nr?*r_a-!3y2 zGs~eAp`F=ml9cZ{gX+&ryWgb@i$*Bx2_nDe+mh^tczzD}l7mPU;30Dcisx>7*T!;v zp09c{9Nvb^y0MZBVW`N5w=1_TB6@#MIR7LfOOrGO0skmz$SnvPoDvWA=cy{VtV-QJoZkAA!IZZ^9D=vKW8Vq@k}5Gc;aWa2(aIgx#uY<1x>q zVfPwE`E1+5frY?jfkIY5cn|{KoR3kb8Yo$V7|>tr2W2l3^665I zo}K)vhShpWR74r&>fT}^{=mOz23b(E$C-#5f;Xyx7p6Keco@J)oH9Lxj&DfdtZx{e z2kS0)s{Q7-fw@t(i0;Aq+&G; zYl;0>97w5!dLp z0f(7EM)6G1_Zhr+OF-=R>XO(%`zfV)R5O}qszf^~-+6GP(9-Eck*Q1zp8@|g0t-C& zX0)bbA*tzrc<(a2Bu#%+;6Fi7_+x})B+z~^zd4O%VVWBR3Ysb8G=p%=X;aoSH|Jnp zsDbBjL%fqXOoBSgG~SO6glN-L?lv|5TCMScw!QVYXo7J<+Bi4=YMILJZ+8{Zs$EzG zp+xy7zU|^$HD)Hi+^OPRZ??ZSO=P|Ap7et#D~L#bSHoDxV<4?KP9L3OtGfq zUD!liBY=BaJ6Ywbk={$!d5HW7T1A(GObbu#izS-J>Oh@PsKEzSQRsJdI~^6$uhCT0 zDR!;*Yaiyi34s20ND=liEeF9Z7rL%2*KHcnk2$hUUbeWf@-bq223mBw?EB$g^#dz` z5y>SYQ;JUIPjP><$PIH`fx^6aZFsidiO%LWgVgm2VB2JkSF^$pMm%)$+})4?qkfG{XrJ`gy*6ce-+feB@@ z88!$0BrO$KE}5q-I@dO|+_^vd7}q3Dq=mSwnQR~py90lj3Cm=kqX~}KN^k_|7Y%vy zpCK5ajmkLS>91DULKmy&9~n`w_bktsPK+JPRtuyV2ScyZ)GM6=`0#U(W=-hmwZ4wY z>fMZA`YYjz*L~NZvME%-;q%|oRQiRfReoG$(s8>9-AcgcvU7cOSr8}EW!kMP^28ZZ zViMv+gG+x0{8;b1pm7VoSJ=e@Tb(zlak^e0RCfia4Og4V6V7BAL?nWo?{c6Kl5U{7Scf_a9ATaoOaQvU98&7dZN^fJ3Cl{g4)y3qzLR# zZ^a!G-vmbqU9x1@b~y?C$@n_LH`2R@SrI&2F;^gg@LGw~5RTsK4`>(7I{?M#gJ6GaAuF>=?k8jc#ow zL{l@Ca8a@yHLNIJCwUkgugK>Xl+l8|t?7TQfT%euJ@UZc_@_Wb7)Xjo37}(qUwETL zn$>JQ(%vb8RG>S~9Y0J!Cr^`@qv3eLY%X-OF;`C-X61d`X&_xww#VWt+|c$qQKFxr zM&jwMyiHmLo#MnVj%`cAcQCEq!aN+Qh$B z^R3u3tj=oqgE2VxM1?pin_S1sO>nA|yh`ee1_S;)^=(wd-}P{ipBehLkGcdGk2N21 zmtFbW8=0eZA>EH;;6Y`2l(MRQUzoZ)iNSi}QY9+%@7ZOI#@PITA#HbxN)&%uE_P7J z95%YM{Nh#F!xEwz)Nl=4}KJU>6e zhqGEGio~=VP6)ruD z9;dI5pqI}LOVRC!vg3W-1$=F`8ukZxcLI=!4Lh~;HT4ly2`i#Q8-0m<6Pc}}akk8r z(Z;YJIsTmXYt|`i*0(2bA-n$l0^xcagC#1KF1$+`n!lJKwJ@u&O80;DKLa-4-Rd>~ zsQVAw#U>mlPU z3Wo0YO9ng{amlM+NT-LTP{Zd(c0>_yP%lCywoi0DU$C@79Yag=nQ2isC1h+zyz>I% zl3BE*a=sfTn{q~49sPf96(yd46$ruxPt)`=g(mcnSVrk`8o~__wrv0>+c-H{PRBhd ziym!W0==IflP8Jw^YF7=5vpBtXpNCSn~dy*GS9g-1*>)Q$g}c)JJ}y;tC3f3Il&={ zq#`s%FEBIo(-N{R3TS-noH&1s=Q5(CtxA;YN*#sS&^g_q!u5X&6+xE`AsmD$D-gst z1=+Dh@^>A?PE{qcK(O-`{T$?>;O>H(v{kIxJ({#g(FsGcMHmi!?t_?BMlTMkMnB%Q z^IOF(p-1@^yYQ@+#;^lmWGlv0xU_B8HOjgmiEhBOKe?Fq*d(4aI2O=owhmOBlto_| zP3yq#+WGQLxIwZ8SbZ>cIUa z!kZGNIQh3Vy7{_W@$HowhSJk0nOH6IK3IDj{^RUlPcVOe0e-F%{Yg|f09m$L$gI5H zQ1_;{QqjDh9BnG|f~7{Rwu2V5=!(UjolLiS?#X{g3hUbbsB5=ZBr6DJOL^a1+Q(5+ z3AV%K|A4*9%47c{9W@(PiPqnR1mt%xD zvnu-5GXA{@3e)E&1ckh+RirZ6sF=^5flsq-?74zI{8_v}VlJvP>g@&wHW0-uva`ID zkAsUX8SwDI{)jmAtOb4@Z24v5jr*@ku5T%J_4>kjx=~%D^W(Wa&x>J963qe!m*;0( z-ywg!TU=lE%zh+WC6MjRNg!BKSNDNraeYCsDZ3j&n4`y&#NYi9=R=`2R+!I8UJxjN z7^1QRsnXYzKmAF(9Zd}_YHDBs8=>8|mNDj3v#4gv5emk*EnmNG+fVjufy^h&CqaGI zUD6{8y&RugC&%OK8U47v-qNE+!B|OCOBH{{-V}z%lx+X&Wv^y1BaPAB>e<+_E{1mY`9C;D+9027PgF?x(BWxIkhAHNP92) zV^^yB-~|-McYIV9(*wAM1JE&DS9$j$#AkYZLr{>Dc$@bq{#APe{!Khks^c_Wm<@kR z!JeL_F^t`<*+)4~#7cW8etps>pko6mx7_m61ZA+E+V2kha^g1zb^i{ZfGf zUS=3z)56~)TK>H32xTlQi^LsYwK|Jb+x&4lmgT8&StOkFWVDZX* zDd^QQfP#gSak#ro`Q2YtUIXhlDg>Hym4V zWxH*R&4pgLL%_SRuxMajLm;-#4@6Z6{KS#+-lpn|E0AD%7H(OKZrbApD6#MIaj-O( z<8x(=tAQa)xe=lFMKUwD8;O5LUsue^n^F{+4wcAv{%qT4uwU08!*~<^SDl`n&a|0v zrit(ql7|NZP-%U)inoeHCPmNR?9`Tw5a{r^Ne`AZ!W-dCtb9v~ zLePJOXN^ftX!+X^QW3usm03m(tnalc+0f+7x}5KTKJ5tS?mBj4i84*M&CDxvUBpq* z<2+S-L8?QM2BN=uCULvmgFbQw&q<{Ah^!{}0WXGDuIfrCq=JARmuGU30<9W`SGz&0 zV7s?q<7oE;UaCgFNUDEm1XzILWg*Hp&wKOCzOjPhdxI#PGLGg>x~VGQOdvf)*V~>3 zAoN#+G+eJF`u1jC@F&ty;7|E9e1$koj9#~7zS1(+`P}!Z6ROcaiM;z_aeZ`$FTEU?icw@qa_VINO1{@6NIvWZn|_Pie&$+ zaE$b?d=aUE@?KPw;M?iY&L&yMU*ks}S=Z@@9yoBw)kw{0eNgw(E(!JqL1LS%r!Pb* z7w$hKCR=ge1ATvioTI7e=D&wMnoD+yy9%A6_&!qfPHPE`ViO2HgGe)cJdOW!Mm#Xi zYTFJ$Dh4a4fGm9s8`r~jY}Wxmv+n${$UW(|e4*>)iTWvuLrjQGf8_?LU)ys#4&;RV zDxGhz#n``Yi`fQg_fNR@san4hcACSmizc|4nzeJh%3XgvrL*SIipeMZ0B_x?E8 z_#7t4df$EWvJk0Y+!EOd?&y=&3eM@JmMvCU;7~>QGWf&mbcn6+d=nT~}%tp(NbK|`? z2n!W{vG#u=f%!MASnklthv5+$LeP9&Iu<F}|GU^e*{nk@2WM5-BP*JD z=GKE|RQs^5C;%+jir*P_`$<&EAeHu7$Xs!jnS4`f!bo{@9Sd+GzZw-olPNiJ{CO1aP?usT zCM@T{H|f+s-p|B2c};OfGrm6m361T3I(oZt^@zzS7c&mE08R=Gvo*uEZcGj>Snm% zmvV8dqV{ja^vS!Ips9zMX)_)8iy-E;=+S>shXme_<>?EGg)4FM9;dPHq}lZtfU5Xo zjf{Dg^O$QGC-$2h+G7}41Q=hFRF5}0%1uBLV7HG<`T`e#*mHkx z?1iYtTZcO-j4rPuZbQzHJraM=UdWDy@{dIVcb`&4kikzeW`hho?lT>(9L*%KG{dny zBW<)lf28n+HNk1HVtxe}_M%?ZrAT$%!e&NLGb}*-ID~C*(lmglEkbF4r|r@e-@~s_ z-lrD%2_cgp3J#0jkeJ|5J%F-AJT-s+iQf=KS0;B$Y2@IGjGrXqLnJ<%wU;3b&lSzf zs1e;>O}thqwLV!O#H>Zc?BH@+5U$ZUdx!77zttk5qF-nx0}T+in>+~y1gK2&sR}n) zqhHdcTBpzqKu^)&konwP84fs_E@0q#1@Dw60TWfR-5sKbLD|p>cMU&>OelX67g9iP zT(J|2+z&P)SnzzQl0~v{)+<==vcq9YO}Xrn1zW_vJKb4xu~){rmT5#nV;L32bXv588#Y?cpm`7R#4&wz zo1B_odCT6wtsi1uz1Rq<3uu3&uHBP1Ht~4&m)rU;R)YgX0?>XPe?F4KEe?wu)x0Oh z)iG1MCz2*8Su^4xs+rER$|cpe09_`8VxSD#2wS3^4CIF4=kRaF)4Ot^o@>=0RIJw! z+pWF!ax?Fc-D{m6W(m&rDlOgmuO>(R^Nuy(^TXGG-n6r{)4EwbS^R&wCab+D6Wy1C zlBk@dDfHE!Ox}{6gKe1m4%TsxnB!H_n%si&f8v)|G}&19i-%tBftg1mHf-tp>{F?v zpAQLef1)dhv<~HjmD&($QdJ5tC$E$VBpE1kLw1dsK~bsLzE)Z*w<9ssa=;Mu*6N|X z@(XAsAzHW8UCL?0RkVL^7P*gvqbshgtt1?p2ojD5cYbplc0N;%V$!Ah&T+Xd-lu5m z7H~|B@KpDm#maYrOvA4LTQG>vQkvNz%PlG@h(YTx59FfAiEY^7A3n~dI_^`M$tgCf z-~+K~%wE~J>ewsk3aoYBMVfVxq%VDEK+Xl3kUsHaz#xh9ZK;2{|M9JMUYlljR)aE) zyolsxL_HBv=>p37#^`TMJSu@^h`0NMp&?G_mG{CJwyiXfx(WswJAZJq%wFrK7s)qm zP)~{G(P{jVml>i>yLgWEFt_{_%Y*XTT#2mOF_RYgEpLTCmxesl!AUlN9)sjU1&~Xm z4bGw`FA4>sd1`+H?vd>S3Z$k!Jcr$IFS0w_Z>skREl6^z^@?~d@xIg62Zr&eL1~MyW}(0>5HU?Or$o}@R~yCODUf?GJIm1`2BzJNU~b_5nb6+6xgOmOWlvl zdB+L)b4;F9oVWh<&i>I3Rb$0PsJ1K^EK>PQqLnWXHefpRlst3lJc$@4D4AiJUdzGb zUBC}}Unncqd_Ey!+KsL)^owv;3Bjf_Z<)WB(Br6vk|!(`@0+YaDdTin|K#JdWMU9TIcVGMuB0>@bw3gt&mki630f zvaiB0W{yt)_dfe1S+dr?^7RD&=q zzc?EJ58<}+wtfVgC0hu^kG?4Jc^2GjJV#+z9_#wb>6yEOUOzK&Y4qug(uM=@vj$Da z5-v_@xJu^ESa5#I{_sX3`Lf3?t8p`^uWEmzE8vYYMtXMdh{e;F0SOi$M#TIx7*i8< zBT-G8*0`sLjvffn84HinSa$alw9mMy!J#d-4~(~8sKU%`(b;IV=9Ta$!rRq5++>YA z=z`;^oKY%y#lx7UGRq8@c&Q)abNZn~wq}b*(caeg+fAcG@R`$|YRRQta%}JXnIeC( zrtE#{(eQ8~zS_WApFca(=WD4^+aEcQ>0@!Dt3TESYI}ZP{yCt$2lu)qPWl4qUR~Em z5wccgrM_c{dkz8?rY9#dmM4&WU!67KD4Vj@e2*=Po=Hf$Ho);5X?rCe*ErMjGG!OX zAT94K`CD_FzC1J=u^bnWf1%SG3B7+edq9xAUI*_#T5hPawQZ)4N<1+B{`BLL!*#l+ zFgttRgtFNTVo*ZpEBPAKOv|UZM|aX4!3KE)(n7fp8P?C>tE!qIKZoBnHx${ zo^$N19f1Fcy{&|UB8-De^O1#?)cyA!8!NyEvL{-4!b2ZtUeQBBM;E7Eta?>r;|?Is z+gIbgG;hyF;rTjJr5Q*HgZK~}CrF`HM>0-Ya6zfdYlN>R%m?B^Pt~a!F zK&nhVApEi{2Y&xTaT$M1(i(qj3zQD$?Oeukq+^8x-Bd|S&l>}au{>223{&D|P;Q_3 z(ld_Zg(pQ}kaX?x$)v<4w-bE`{VbC~IKF;#%i_shq)bbU;ta{oz}7HnP)I;L2Rwvb z@PVM$HC!!(e>0`Vu4R-ref!X==QVk0U{|xcVv=X27G2gxKZ_2hL8E`$T1rHxni>F; zPI@S~ej?keNlBX}`a?EIy^c+P;ri#>iscJsNXlT%sLa;Ym#e#%++&^PTMkSn!clX@ z1S^l2f}F{e`)PfqR&9OG#ISfWV6x%40|G~=ak0~7f^>nuUs&5vL44#MPS+K|GYtKU zNU__Xc3%(@(}qkNdCGsg)AJsum-O430l?|B|PxZ$BgzVUmUD8g| zb!*V8(Kj;K`;JU}=tf59WjFhWMLu+J7x^I)(H#vuBB%|wSk-@g!L5kupp@9F-g^t| z8P4W4j1b2%?T*HkVSE;2aVqr%Y>CN>NYyHgxp;nUhE92jVn zD3kTBXx|NS&8IT@Ms$vFo;?mdJ0j%DybFlbGYoPM-W@j#DY|+^4!T-&tNQpEgn{`3 zBtUnxdx-80CC`PWj?aEhqqilz(m9~avF?sY|0{q?ZpnLkSH8UFs?+R z-C|@1jy``xY^y1g;F2pvwn*m!hpyXB(zi%|F#jII<9w5@Uej%eio4ApY#CK9WnlSr zjze3)6q;>HM+gd02BVN5-dDa>0`soqLVTJ+>B`=D9a11`&5SB-mLAR-TagQy>?WqU zFHxs#&g(2_Y_|>d+IZqzleQ(YnUnS6d;WC<`1yYWQb;?Fb?k;l$L71Avvoa)tadc* zh`~7Qogyl+-K_8OcBXa|_J{mvl7(BJ=@fDhPGAnx_EpU|jha?BS|+m;8@-5vLqg(3 z*>7iPe5P$ueIk?*;P;8fox(Ed@ow4x|1QvDqkvchfcXG3CH%^zE~j4b$S@`a^$CV* z&`f`Qt4ktuWkIAe(Wr{!Mi?JleYmT%E< z>J#5WxsTQbe#XsH?bUt08ufi8hI|}Lf4_gwscCWk{he9hbXQRvs;fo4@^ss(@K29B z=*SbP$E0FvQw)t>AC}K~!)s!Ul2my)OBmUt6n+abXN)SR5cz>OeS~5ztTSl| z3W-X+8JxCZe5E8-$qYB8vN)Vjcbg|=`5NuuyimHTtnbyFE5RSORJL$t?RsR+jZS~C zC`pOQp>fRs-bv?sNbT`@8~a+j7SD5MR>qi;BkR%WN$N?;7__P5)?S7FWt!N0b1Xl& zmXAWRat>rTPk_w`@DDoNKoV^IfuV&Tznk3;lW(Z5LoC<_FjwJvsX;kfepk7Wpv}jd z(l74>yaWkiUgaokei>N|!{j$eCqI9*gYkEzP$7R;`3`>Y6NJKJRpY7P5&>QbA{1yt zS<)vG+)^GU)N7_Ux&QvII-W6lrLml?<-(kC1Zi3mHa9UYg}h%+Gvv8Mo%hqZ-~a=P zlE>ZX$7B%CuLU)-*5;a72^?v6H+^CKX!5@mIlWD(7J z477nYKaMUqVJCYrR6%B)!IzHbroLuI!mRgBP&bJNaYTR)ia{isFLXtKhA2ecV3wnQ zx3I4MO~$Qd$tphIPucr4 z4+x*N*TjXSL2`bD4!mRT<4Av2BqFBsWFacf5ZY0l`Ed9p|NU#*E3aR=wm(SOpw#mR z0=^Y}>dTV}HxV6Ocp!XEgJ7a^dxNQHQ zYcuBUYO#xgj}DA6N!bzAvp_+e-cD(@)lf1|^~uP93gxJS%nuMht7d;iIk0zIGLf+A zwJ8jR1*v56jE}fqa}hedRne4kA&058@M)_Y3YD6@Q6oMwA9M}~&pba&Pq$(TG~mod zRT7kuD(5C80K-ep1!R1UgC(V{3eu@Y*K$>4D5S~Zly1yxL8W#`NqG^UGuLqhjb$!f19$YbY}kIH#AQ+ zV7_Hfdfq%+5EI_1UmiwsYYJ%W-!#iX`&p=o^WqS3Gm*4ZD8?ALhqfvWt}E*6x4A=K7K0O;2OY^1?Vk zBGS8>I3_heGxcHWkM7jm_R}nKIge%~>+l0Q*M95topH|J(W%l5L-b2XKe9?^&T5f-i9V&A&`6!>BkF!NV_6r*~z944T) zpQp_{>pEoMYO8-B4X#0d*0-ASvsrxXdX|X2 zePJ@-=p8=6BPG~;Y-uZ~z<7P{dnb}Tx*S4zCQA0x{J4PNp~6=o321m|!ZQvII;@tu zd~q8qx(?=zIjKy~ize7Yhi;Kx9vmLg1N72oFtz;*+53N4p9mHOGx58%GD;nHXSrU! zfOrd;diaf)w^xF@83U>iBzZo?sK{(m2mBfvEoMB&ufynj6+Q9#MtfmnM{LV21?&1| zYVRX*gCR%Uv5=#?ta5)@N+5j|zX|xsTdd3arlWW?1FwsG{rS5490m(s}0ntmwS3bC#+`5(Q(~aqJow;2T3VKXDUTO$;T$wvAd!OY4 zo?yb9JaLD}d99Cd+~%JC^#?0myPFYx@%bN%f=Erk%KVXMPIDocBC>(ONPr5-6wX9z#@$u_3{!u@ z@4GUJo-()bA3AX3j%y|e;3KrC=|0e>Gqs<^RGMRGndVS;frsi|Mbh+SrMWs}TAG4? zWNWAeuH?4_!Cv}tyIq+lW6cfyK=;?naQ(WgE3&tPSYhZu&O#v-2 zm8!g?3>_^>y%Lz3RFJ_Z1#1i!KU5n5>cU-&ytkELN9^D~qfijiX@xQw>@$U>G9!ip zPYat63Z`i60nUjWfh7mFS_is&Ih0}>tj-LEj$8dBMS}xY-|xi>9Zrtd9r6k{X@(#f zAAX}Co=JFb!7qcCmQHDiM`?eupNN9Tf5nV(@L=bHlH@MKF}|LPzC_POfdLr&>35rjNBT-U6oxk>g}Lcnh7E z=PCh|y(zj8LG^=R*0klxfFd?;UiN8N{ekiL#$q6sv`FJnwdxNAMPq-JN}S}Q8E{7h zTJIVktzM9I{}z!1Ll6|P;Nn`3aVyFX2+dy2560_@p|NUDHbO z0fwseJpXZmHYHlKt>*(drlQNkCnJOC-OS3lHG|CUkxJCT0grdH+ocW)l3NGw5s|9Ia2~bT*7JNK$_KiMJtS;Ro^g9#o>&dO=RZrkJVfOP~H>qf3^Ts_;B zwr+TmgJYMe>92ovwfn=`;9cSChhYeCb!9#E5|&_E9MorB(it?_ct&~6%{7CF_<4oU z2NpYk-wYN6yCW1DM|-iv(i+EFGor5T{}9BE5`;+G_|Ve9t-zNDvBtNz3lh$aZLd z;p26Say^8p5vqjds7y5fspFK=(P@u&x14Oy$0czS$dhWp$vD3GWKOMQ0KuG~>&4CB zbsHeC1M*ncO!mbw6h;CuiDw)AvEajw{`_p4pvHfx4naZ%3F{5IvsX6g9eq-l6>C0* zNB;rI$o6VPd0S}IE(Zccxv^lwnfmNSTEjbRb(`EsJCdq!cGn1xUXBiUDljlo@K;G3 zmJ>W!hC693F_=?DFV?yexI_!qpd?-yx(+u$K3wAG>r^&V>&ii%zu^`iZ>%o7;V$Cc zCE0(!!q^ZS(30G|_C-|4Rk*7ca_Py!Xkg>{gij-6xkNwrdL?Y_OqO<~3USyV2&!&@ zs>NvZT-4kFmHBQ@44-F3gaEp3`9Nt zK2Zi1SFMjc{DZ83@M{Kic145_`9xwSQ?!?#7wawYZaA6RY)?*AEpdBP+$`Bsdz*jx zW;umlCn9=M=*mVfQ?08nQQb!XO2NSUaFlq#@(!7Ag#8)W2$Ab-X6Tu3S$0h=edcYjK*B0h$y7Mz8tk?{bh9h@%A8Q~)lhP1ytUuF3wsjQFt_Jz{6zLX zAV)pl#|lbB_VRd0LT({WhsJ}dbA`>?noZSWGbiCiL-9-D(bu?5FsDd|!*-1fqpz24 zpUX5nqonKT;v`MWQ)-=Q-ZFpd9NWgDEC4>zz$vnX)h49B;q#0GTR~>H^m$GkgwLda z?2l7(u35T!5fIY|$1i=VGqqQ_)3_Oww4$Y_!H+7-qfw8G2SsP&A5J}0$9g0-LLg0s z)c7;hDz+>5@Y8L|LbJGtN5i(hQCPm~pBZjf)hSL@Zu8G}65n((N1lI;QCr-Vsg5N2 z0Ja>;og^;;52u+IMWTT$KC_zkW=TMNG%c>M&|6F4d8`LRX(<^(*m=UZKyUF>!O0cG zZ$Xi=rd%kF>cMTvnw6~Fmt11HnL0n6=jL?j1iSLzye-jXBjp^p&&&g-_a`QnHqP<9 zejwTv2Yas~Q4o0c255g)7{MGT&MV982YMSpxSM)%Zo1)DV z(j$+bza=j97zK#Q-I&B(+Sfo^AdtJM)5-DJv>m(>VLy+`j*Wjwt&<5#4sfOhMfHOQ9A;@a8)7)Nir+HR(cfjGOH`23iZQl&`@5EaGtWCzeNC z-_K~hg);<(59bF9^7b74qI7J~GOv$sMPG7cSX(oSa4l!pxt_y$7c@BJA@ctr z+Cm{Zn5yP>M@jStGqS($`5k4idQMc^T%CYO@#5!r5e=%G;<&3a%16=)4c#aSYEw#X z`uPe`byu?4^=W2Ga{gr z+AoKBbi{u`YjZDEAC=VZgT{od<~t$7By`n1B>7leWFXd{@1@UNJueIH$Jm!0^$-nfa$=QKqR{Jof??*4CnIC6R}rby;^IYcEW=o zn#LrosE0L6qNZqK$yuwD+({X;t!uDeo`8%VbJz71shyVfvJa}q0asNDi0yj_(o6~; zM&08}DnonE+lTR_^K&C2dc(cbGMchF9Fc#wI!z^=J2nMS*I;ru&KKKbG4LY4BN~A} zRW;cD#=1_h_fF_#q@}J|M+M&um;$j!0*Y&+t$!U#M>Mt=FtjiPqWm!MJb`xv9h!_J znjXrncctfv5nD`osHg^Mu)jP0qzz-raCaEk=ET^X<{hbX?+ z)h+gpj#hbM@8xxNu10!Xw~A7tuugxqa^c3nsy&xm6&VR#o`nKs)wje!vQwg4<<0f` zL2jgkrUjJ)N1?rLH!{uhE)XbAy-h;&3Tu~XPX?7o_%8f7JKnn-BpS{h$k|icPdvkG zyR?(JBE(poAH(kU4Sm&5(?!(ZBkm@H3Bmd;>7y@ceV+;y%vXXNo9G;v3};3h`q}4A zSq0vPVzAU9i*&=&Q&&;@jwlx#_Xa*`^D2Xk|C}U2PyyR4<2TPUD713tfl$VlRW7(s z!kwB$nIUq0~Z(?c+ zFGNgCMMYFAPHAmob#qf;ZcHswWoLB?Wo~3|VrmLAG&Psu^#m&hL`+OYMO3$@q6G~B z24#3-Y+-GevZDoFA8~bWbY*jCZf9&|FLEz)FLPyfWo|EXX?QPlY+-X~m+-CxBbPv= z1rioAFf$-9AW{lGJ_==SWN%_>3NbP;G?!t)0~D8hqy-HGI50Laml1me6a+XpGdPp6 zzbJogc4b&xS=ud5a0?c!aF@c}A-EHQh5`yGD4arrJHcIpyF&;PAh<(t2reNw!6E2J zch5}UncL6#arR#8^}Y6a4n4JoHoKICgBe)f!QPb}$iXEHP=nZ+!CbUK_A2aJU@MrS zD+mgBCip<;^z=FqS19-&O`b^^XE4YW;$VL-3vzw7(XoaBR6))FZY}_jOPEVgn3wB$ z&BgO?LkDMJfQB=~&cO?y0d@sDLm~Fhl&pg}%noetstt2=ghId;T3{Ckn6o+9MHpcD zT;V@w2FN%#dOAa_tX%<2x>|b7tgLK*BS0Y`A%L0ZzZrlm*ac!`4`6s+xPhS#j(>k- zdk*m$Y!7yRF5Kb|TMbK)oCU=7kG26!)~>FO!knCrmLTvm#^GYgVGnlYWPUDI&fY@C z!S0U$F6e*EPZr_~Hh*r+lk=ZuXlw7_Ztwk%lqJO8;?MRhV2+%+_7Eo+SW)(04$lz! z-E%_YPo2mm_)z#it-oPU3$*70-%|49P>fI;>aKHiQFjsQy#)CKGVu>?QA z(7jziZeW0`GYstG{r?jGy+a2A0TvK*SAZGV>iJ0ij{XdTE&tl9f?S;;9solw4lXVr zfa{OvKP%(s!?kd*hkE{Pt_HFL132a66{OTOSpTX2|5!>(J3NPF2MP!R*tvfNc>zG+ zbDu(dJpUV20|fb3Ra}2NE81H+0EGT3{&{NuZom!f?DDKi0Mnl$W(NFsBsB+Dh&dR* z^tWJ)xcIo9wetJ|{-cooi~mPC{cjF=7!>;F44D3E9`M|~3qS?%NB_|0EAqz-Am;y{ z7sw6*_526F|25PD|0Vr@h5LVB`mg9x_Eu0ZfE~#F7YK2Yhj@T3G$5|#)_Gz~<=R=N!yMf^F)9+iq&5$lQO~x5s&U_;s(I zU{t*5(NOp;4uozs65BE|-n>h}Q7n^DOCFivu zG!~!VOz3NL*ZLDPGW{|E;f}Gd+_%>4%90)Mooq#sxr{sKoTC_z>q9Ivm?$KP@f0hV z*d!$10{B$yM82E9Aj_D|?`@xC8p0G@V3)EtJus_rr-@wkD*Jz!OG^S%L4CcxxpdP! z$~`jQzTjYaZe<)5Jn*v4&|>DhfrAVgV#6U4ja;7e-BgV_RU-$#T7&r+rY2MfRcqDu z9h1|mrQ%%1uLP=K8|w@cz=MZ-ARELej7B0Mo=h|e+156K21|$H6~;KFZU&s(K3GN_ zlDJj{bf(<-%|?HfavLTY20YL@ybHIo4_E`I^GFic0P$wVDrSJ_n|4n;?I0wmsqj%|;!%Y4BPKQ1!7! zHrNv@ncS7VY;B=bT>I%`1qQ(N6u}#-*N#NxUob1c!gR;k92ZKt zJ5B!n6sPGc#8o88#PIo^Zu&@G+E|**d|if0wH$vM<*IS>q|OAfKi#8E$UX)~YEQiu z#wbo6mhcZ8%~nX=alL5eepeci52Jx&iN`5n?7jb<1~fm3zmAk6)88uU>tU*W_@!(; z?xh-Qs3D&xS)-kpq2uQwHbJeN0D9@*Dg9|A7Y9Z5oXV_cFhlj@ce`+h(^j$i&+sQN zFg1VOg6U=9GbFAk7Pe*%{npK{Sg4XTO=?+ya$uwPLPi z=C`dM=DJwGvg*`haI+?MytjcvGDh#feJg+3L(*?gU#(r-g>dQ=o4j2M--h;$YV@OT zP@x)N(rU&(M)%n7rRR&~@f{YG4a|YWFNIrvR#P6Z?u&kfsdx)I<`vNG4YZeRy*bK{ z2~PWUhHxP7cM&e}rH-sr7n2O{MUgvLdM$?mzGK8|@v}J^DZ3D4m!NbAom~Z7R7=zs zaIXp|l8S&V7zirt-q*v4fexZ>In~j~;FSS{{Mps*R?%8-x z39rs*HG?6>w~&hho8JC3?~+#_*Rr{!}GZC|wcgEn!9>8`;q zXub2QPBp$&D!(D*X^~Uy-h|#QGv;z!V9@^bqPLniFCH*?W5cWGoE{h5TrobVSZcjF z?Jhpf{|Xi2d{SHAHBG-S{r8-vZ@NRxs`GEp`C4OEsbA~n4m_rq5B)V%ggU*J*o)yE2%bf>(-mPxg)_1Kzw$?PzVozquYLV)+&Waf+QJ9ta}D$~RckQw5ieX>+Uw`yi(f|G%|Clh+Tw$& z8;y{*E$(g_weI^IAE)%feh4`Ud%Bevqc%gdIoA&>{YOvtT>@nBp3*Pmw7WSMRvwVEA z|H0W8zDSQl+chXx*{SWxySYl9Upc>1%LP~R7=r5i_uZ!pH|CycdUpEosKm0{=1iNC z{_gX%;D#L!#q)K)e9Q3M@b-i}p`=f;xo zu8VN_zA-u?rpwc)yx}*ECASo+u=H)&1`eg_{rtGqZfA}OJvKgGa&4*M(-Dz0Bs}U6vM?VhT`>uSXr8n$Lb_|>FY?ql9W1SJk^^k&?=)lEb1AItG+ zbKN}C4s6`AJZiz3`emaUMzr1TmUzGC-U;7o&pr31WBFE122S{VCEf7&O}8%Z2R1!7 zw!lS?SN#(oTtBhOd*%-NrzNz`@#BY?qH0#icOi75-{ATm`k5YHe~&kFR@(Z_7*KtB z{)?SnRqPph2-x@N6=Ox?ivv(H!LO5=Mp>*U10i=WYd^_&Vr9eYn0&d*3|H^K4H zq-`$s`d^rPAbnU5&+EdqIsEti$7m zeMaxArgNSvW>kN?v_-EpjsKLg-{PA$d%U!8dZk8j>H2{#;(}hY-Nw!9dS+yc4bQ7y zT;P4;*y`7>zNl&~tT5tr@PIYNe}p=$kIyyjT-2L9RWr)X<^~Si*J11FqaW|}sdxMA zW>f9+HMQU7k3Wy!nyh~9?XHX4-P4CG4BjxoyMKH4kBijXKP8yD=dAA;eR}@+OC8D& zF8#H@xn+F@UM}g>?ofj*Wg1W1`tGae$&kC7>*sIKY-F*1jVh+M+coV@#a_L{fRoh@ z9DV(LbJ;wn{Em*Qb)@U?VbMp<44v92`1QzJ?>@Nl9X{`~f0WSdewRxvhG|{I9Rc-^ z)!Q{7t%t*iPet1Z5AUa)xElKARTb0rwqN=4#ou?IklJt5xCLKx<=x#;{j;z3+nB=l za}DZVYGT5Z>o|VxtEs|U%7S~AG;&9{ecV4VGjcv+^si$`=rB9I*z+6vw+}3uzwOQ9Zod+)ymua1hObvN%5U++ zHGwf3>O8Kd4mdd5J6O2(RP6Dri`;7BnX_LGPrBz>f7_zyCEr%eY9MY6*mmLP!@>S< z2G(D@b@YL~y_!sY*-{Xtn}TzB0a zQ>#(;I{n);c-*1oo!owbuc!1hopv*2sCxYz(x+t?F8chM^O319`{mzPYI0~k)$I4a z;b}oFUzbZC)^J3vzFRwXD$}aq@**y|KjrZ|-f?}Om$NFoD)0TD@nYE@x^G*{ggf-z zfy#v33$IRDmGG+Gn1{zIu04KlF;~%fK;FT*CQkDDRSurZMsT4wUKK`E|&!y+hB}E!=SR;S!u{;ScZgc(hbU-s!g8x%(pN zbn406ZTCz+U9#TQnz!u+4{;y;G6Ge{hUhO#yMg5n^(TGQ@r}j=`cKMLh*l&pPKu4z(Ysw*U+#FhDxJilR64ND|NkN z^ecnkoNbxDBPmL#mC*aZ=K3dGW0pttUfq9Tc%8o8^SvB=cf!2dr&lMh9T>d3Uq#_M zA3Cx0yj}m8$Z22N)$)tuqIxL$#bgcYFK^n^j!JI_ONf%!Z+!# z`{Bd~B^#y~|9vSO37^`#^Pa@|hg>d9>hAo0#X7@+yFRAz_kB+$wrc!ei}~v}_lo>p zBW-vGr}vk}zhB=XYEU=nOPS{_7B%|X{@a?B*AsvJ_x^5Mb<^D^ywCOd*{@3@!$;?@ z(RbFh%bzOxMtp40I+$e z$(0uD4O`l&$BtiyobzQZ{hsl+AM`C+rNZmvQ|r{j z9#1Wu7M;2-_*z1metzuPkh*1JU+*2#Ye2WbrM)jXr7o-6e{iByJu&LZC=PG<>$GEW znceGJ`Mlm;e0=G0N1sKMds1=F&VQ@jo?5^Ek0;W0)AZo89fnUU#M`Y1XtCyHU?4wJ zz4wE@U#Xx|r>6`w%|AOo+IM$@PcNHSeK)!4vKg;O=UcF3b87AL!j~Cx$dau~Yu~_F`SM)ArpYU!0t;?kWY7#;_Rsf0eD`wKJR1Kx|4G+M<#69w9H%?BJZ0p%yXs06 z{CYN7GR}2r@|-o2sockT>Sfb%NBho+{`e?mbsK#}k2>B98ixdhJ^HWvg&W@zuh*T} zrfSHNE0?B6RIM_%MdKPnypK$5ntn-T%B}xkto)$#`eJuKzuQ%5Y1*^#a?rYc8|xf+ z8rree%wcc8?>9b-{qLy1!MVN5_6}zjI&`>oXLF8P#|AqE%`Cie-m4iguXo@q>UrVW z<7TDi-c)^L+wf8U4hg-sWqZzE!EG+z+$?{4@_n5D-S~tdeD%-m?%luQQMQLzqUe!Y zU%OT86#D)2qai67BWhQVx>CLLhHX1f9V+sq=%Z@aTI`?k=+26q&!x4dwB+H*pH_UU z*X?Hi3SA$Lxx8x1m0Ah*vtrNjstJ3hme)`FZYmb}Be7wg=C6yzOLgNrK5KQMbG}=x z7KrWY9C=vTSBk0FOZ)ZTM_k2uB}+#IzPWJf((?~3Hq{!^b^5BEpIz_Onlgz$@#^+H zzXPM}ha0;6Xy8+DdckY^<}82z?BAXLZJRK=ZNTNjsv|ycW`4EH`zj?vcJ7_)z|wy& zWR!?qQ{(=o@Z8Hxp({o?c5prxlhLNzo~Ge%Qx3%5UjJk0)&=~vo-by4LcR_S!Z(L-l*!Z0~k=R@kEr*G<)3$DO~?CORr8&-5$BW|#&=nnu0e zR;c8Vw?kiiDrnd3am)A(hJa4TCGGfL{WiL{T-_n#(dJ1}mo}^_GoWHZ*?MDg?=H3a z^SbF1J|!(UdE;bM^-eDg$KS_2jp+J0yvLc41kqw}I4bza^8zh% z{@_A0RMAHkuI{q(!J}M>Q)({$>fLi!?%3z1egAzJ?sk6S##Sruce{8lL-Vu!ym>!^ z<`+7$Woh#V!`FGIyPqy_^TMKp8t3D~>TK%J>TTsf`&_Bv)%Jb5e7kR5e%PAxGd1&? z7Lk2RwO8jeZv4`zwBY_J;7z?=8|~LFnma9K=b9?Fo4&AXKDy@Z;XAwUHnhEFs(1b9 zz>S}`U2J;ja%0o?lDXXTJ*n{P=IveF-7S~iHW6}Nn&|8%tp8kjSB;x3ca2z{f7N4$ zuJxKocJ9g#-Sq82dzaNS&(FNnZrqr_$z}J? zX|Vsmhx@J<))jvg)7cms+`i1UoLkx!Z@2eu)&Kr^cco7GvU>vvmyC9yDz`IB0i{!zSzrqBdhK16S4Q+ieoWtnp81BS+Y-TbDF$;YML-UD^ky;)+)`K)>X_o_;>pIc{FI=Q37qrCs{dVT2m z)CKWN#--Nfo6Jc1EX-(fWwg_m&AS~NE&kN#%vio}5tqjO4=#Kg{Vi5EWb@0kzN`6! zsUL4luhyaFz-}!o_TRNDVuLZPc5eIOH#M=J-@12?`*&;Vkh6Y60^Aa(-c$3u6k9w|BOKlDKU{#U>*n&l{t9R9G|W*tHGXU#lLqIeB-p93I_0x%sFo zL4|4^f8*``>E)A(3oGTT;C-w7q}DYbynfoOf3tE8e%$gs@I9sLd+jDu>VUN;_dI`< z{JL>WxnM72vAU5Jl5U;S7U2e$$tb;U&*#=DGgiGEck$D)dzGF{7?t?;tYe{yM^;bI z-DpPngt2AXF1g-o=A5#nBgZe_IYK*qR>yLuPOU#vJSOL7zfUW6myQ@4RcD9W!p8@~ z`i=hQ5_aQ&nnJ3dM7@(YU!~q9otmFbBg3bI|MUU}t1rsYXmNUYKk13xz~%f#ZTT%HR~YcNhqc9O z8mp-NuWmb@G=I&O?kh^%`}8Bc*2sU~KWj24<e%1A z*XP61JArj8bQtk`aQfZ3XVMlY%#ypb$l0qx#MdsDnicR_|8B$Ow_`ijdhw|Iz?9{t z4zJezytn^N^H#ORF7e}Q4Qf*-SJ!~!rC0ina^)lAB3J7U&Y3i^uG`m6UX#*_KAO6| zVSh<9y#KF#we!!Cv~|_h_74pjm$+?JlbNQBWkVXbyjoRT|8$O5mybVf-gL*uq0h>f zo0;x%@4$(wFAn*quia6v-POg1DsNZ6EZ^kI-djf<&z~9=S*esNZuojrzeYQMBwwiW z-vyWXeWQG9F5cf{Zr(`%C-iE5NHuqHqXTp8 zM)Vm}arZSxxk|rHC0e)cv31+>rOJ9bH(d@7nq| z>*}^@ayF@vk=A?s^8Sk-r8WGDfG~O|87ZyTj1%^oxoA_`kfO z^&7FLK=WfyV^WGhukWzNuQTz$-(>TvNzr?YCJ(oo6+&%_=j!xU9J}W$+&G4Uwv`i zbB!8ZoSK}g?S~@nrFM7@m@}xR(8;t$KX=}M)bxfvPOlqWHM}^tsMY1_mwp)f1RQU5 z_TZ%Sj|VoVj_FauekApgLS6|m+ZgnbnRLPdtQ~hUp>4g`q_)S0cmsP ziTWd^0gY--O0C>FqwuTG^Ae^%9os%e_vqg<7e?J4Fde;=Ww8H8IwT=w=x8r)@-~nr4&LmYVxCta|%y8}>Nw8+x}-`@|ew-;4?`cmC1k z%0X|>rtCU!%=o!!t{%db>2CS(!=rZhDt9UUDqXuh;`NO0e)%3m6rAC#N?&xNZ@SUG z{d<9dqjmmel0zok@-7g*`0}+#`zE6Tj}G!YHo94Z z^U04*vzxqXP^sh1Cf{oe*s#`X)!`#$R>&n+h8_=@)Y)a=oxS_(9c$;jtgP#ro9EQS zW_gc#dMQ5q#o-2=cIv~^m0Y%VuGRFMw#UWy5AouK{Qn+w9elIbn$B&V6Tdb&esJXS z-c@Vjjl}c!+&Xx<$IJb^MrHP(U!hTIOhoa7$S<3`!Q zpEI897`6NR>YoPt{VT6WdO6KnI+WjYszvoF-tC<~ckeK9tk7)!zgD%( z;@vwP?3y1*t-E5g-_H{X1J4es>{@uzY0oO{-h^Bn*!EBk)5#9UzvdpY@_0b+GmSSc zxN|wCzWPkZE@*{xi>~#+HyhuUwWD**B!| z=~}x7tSR|w=DF)9!?vDSy13oEx9v>*$_#BZaGSin@UgLRK1Kmbdd>s8e*D^^ zy2dwf_v=TEC%rv8Zg$_DV+Oi?D*Vpv5SO>0ILv3yk8O+UWQ3IO>VI~|_qbulZXCb5 zsd=Aj^KQ-?(jg@BOT)gG%I{iI_1!PKg&V`(TYneDQchDfGOALYdUA&&s*A2k9mCp$ zwGC_Ar%PK@&a?~bAlE#ql2qpM8Pic!ar~T$COM8*j{gx@1IabaFKPR8dr;wOPy2|u{Y}E`X^QXzLH~D zlXeLyZM&~)Wc{{CK?vmu3Pt%h_F<|*IS<0j4#hSsS>$LjT<&=T0_2mQWa>= zYvi9NRi*M9B=bPF&Fh>(bIY&Vj69_(PMWVfrSg{xpHeyJH;8N>Pr1z*mB5(`sN{KP zfI^hy^`}&Rexfn^^c9{u+D>0~I}3akX{mKqkp-o5VP8IbU*(5%Z2Nl3ht8@>LUwfW zyR)j&J{nT;)j%!q3XLrt!^1O+yyUdA_U==^+vSwYr>Q)`(ZxKCn(Y$0tD6gg-rQ5N z{MB3j8kLdMBCp7nS`-wH$(0J*6_QJzuya&Kt4)n2ik4nF^C+Tu+0~K-i=MTU)EW_(0Ga8-F{8z6rqtlDZ@Y#pe>%`1qD^S$xC1p-(y)W0-kuj5;$NMx&W)T8-9>LaX7;lxj8RWl?K2qOwjc1)=4mGAvUj!YE;C(Pqj^SxXy%(J znPmh^sK^p{uKYFV6e_dN6jYF@`Wp=Y>*z*{1ZuSc!ze9}bIMF%&Qnqpt-Qj(7M57% zNnth0FVCxbW5XD?w!NTQl2`fUdRLWVM?T3T@2R#bA1dEhty4aHxUZ@xzs|>b$u%FS z$`;d0#`q*5Nn?oBYm)SFl3tX=I3Yfs7xnRR8eKxLS~M8tO3zg8@}38(LdC>bNfM2G zoFP6z;B`h`;**SGk|AEF(;7u%Qf#nV(&iI;S5ErL0#K($>I!%&b;5D&{I;|c=%ljXw3aJ0oP@Y}Gu82L} zd9wb4%InXq3p`R4wbyHu>HWF&iY9hN93-Rgm(5Qoy?ic1<^OjK(fps;4jh5<1=qi3 zd)sCT+oivpLK>z3q4_&j{&-I2Yu?O%o^R6^%Futu_&wDL*?Rq7lAZ6RvZzGX|4ayB z$^V`4KoKaParL@C_-+m)){ zkZdT!U+O%&Hy}kX{H4@`E&mVZ14p2|!u_wA-vTtjQU5zx3u{EUV>V)c!AzI;@bqC3 z{%()_YHn6ee`h~#worEK->&PYItt}~%X@I;|G|4836xVj{+jo8W?}nVjk6+yD3QNy zZTbisC?WRxyKSZYKnDL?n=|HvVqmp$z!#N=T;`*y*q=8pnXSCwqpGl6!xJvk+Rv(d z^0&!$#T;~k!90%old71#PLS1KRGxARe8D55`H^v=(ojdfdCHeQslpxf0xpYu#c*U0 zx!Gsig&l6PMav=|Ue^szrF9@Vn z0eSB=JM`gsGW-y^SON2(uJVNM%2>**3&`plN-ImBJLYeeXY`<6~|f3Ol{~C*XQH!~7@sm#V%UWaXF2OWsq03&^P!BwpU~OVv-l>dSehR^xbk zNh?>f?hZUEo(4uW1QYlFGU@@_k>yxg-e z=am;1ffBo%>4FTe$D`YSOm8nI4_P5R_h339k@dF zIt7iFN(UJ9wmk;s;kN3v8Z$;<7etM`%7OFu)MAhlN$uM7=$057AFEIvD#*OrjKf7G zU|_x6n&S#^0{ZC<%6PISCuoEBNeIm)fNF!*`UO}GTCAt?1fv^3B0=RvhGI||lh=5sC)L>jGg5sl=FB)f8)H`#e)Y|>gVSe6Tn6kwVa=81SfW6{es zi&&Y5fw5f);Z7J<)R-eZ`8CJ+7PAERCA!46NetC$@mMs+VipJ{4FcEOb81JjMCN94 zo`EC1L~i- zKsK;g+8N{u#V{{|yA>v*ld@9_VtIo(?lw2)v3xpnBx@Of#}xIJjEkiWdg;v(xU~(D zFiD=DpL3V<=jL(}u36d&@;eK9m5Ikp^?GwSZF!8D>TLRTX~yHRV-C0HOB$7sn7SRh2abxO9Nr6Wa5=DG-WqFg43^OaY!0XMU5b9xwTRCxskjL%h$Q6($I6>xToEduo2pIS*+DCIY|O8Jd$2ZIIP@Ij=l? zDB-sT8Zsa+=b2k+hOgvd!CdA?B6(CG7g+=i3?4A6?RYuOne)J(kpvGBGJ?lZs|giC zF*;0&e_qZz7sLX6po+-=pc7xVh7#BkovKN)V%DRZinv^3j*yRbCXe3wUPFdXl`y5c0nK$~TxQ zSF^O}5q2q`fmcuN4HG!lNUzr^pXEXMA!+(dHaIH-x?zK4k*&kjMY&}uto82VicICp zEfT@g!xJV05~l(#bbeQ^kb^<1l}o#F-u?z5b7N->r8h6H0cLkF>4*u#ibJ>imrzEu z!D~?1N=;p`PTkp&Jjb+*8m%%InU+yw9+)F)5*bp0gzPmV7}VO$%n~Ek37-pL)@z+P zzYxnXHmHrt&=hJh6SX`JMPwvKRmLQ^^p^1nE?6rC4z3u%<|6J~346$Q{X7LZ8D0GDc2PMOu3odBM9cSSo z)>eY>-S*_X-N>*uk6OxWN^^zGCW9b0@!+S*oF(z41kfYa{ zQ`=d%h$+--Ey9u6RscPEbAsQjvgN0qoQoSFB3oDN1o@v(kV%xA!k?DJY8eC?HK-J< zRy-32u`ULk#gb$}F@Vv!T{EE)s5Bbo^`4xEgAu+RVOjvel|_xj>`REUb=`_cL}gkn ze%7#<5AyLAr>~?JS3|z<$$9#d*4B|jMOq%k)42yLRSQOK{2tqc!P!)Vl|0EVqClq9)=Vbmyno{ln|#+ z(4jwRY_v8u@O-RJl;Eo%AD@(Hj763^E>53hjF(~!v2jGEB}r*q97)|cos@*kt}zat zo-rX#&?HEDNr+DrBz#FCjFsdrUR(iC5g!+;PvDJlNwEnAN#c>!hhr)jlH%edqcJgw zY#gZL!3AMog5?QboQLL*J#6=Y1s05y^`Dun)!@WK8KSK>R}8&1<`GHnKXV<( zpsfmWfdPM{RZjEfJhXotl46M2Oc0K^75nqLf*iRkqIg35pBEObd1dM)(EN`p1#_<@ zg5Xset^YV%(pvFC<%xIfykx^NsOO&;~IH+sgCQuIZMMJ}j&+7f9L_oxGuo=j2gc11N`1GTS0$ zyvgdeMdby4TqQe={J@VB?9}q;!d#f#!eo?&zMh_h$`#gm{YGuswy7yYe(z`K<%EN_tKXb5_ag z1Gtvv-n|0R+p7rYtH9r(vztJ7wx~=6@A^Qj$<(62Ybs)<**7O#kKoDcy%~BfDiQtM zC{f;ALQiH+-sm>W#iDQ|sgpe|tn zK|kswi#KNhL0Kb-;#!0Y@HY~t&DxY^jn2FSJ6gIyE$giTy#)k2g=0wNkk>j0G?U&O zZf1^4{42|0uC*0(tKJ;pWVRwU7#WGP#kc~##B5qn7$}w_fl!<)l&B@f*&6?un_^mm zxwOUFnS|^Ht6jG=B1Y75SZ+bVqocugV#ID6;Mx&l{D8^Yl3sr}D@0~393swzQDd>? z7IZ{WTTY~{gAgNR4O1=qE%VYC{13kZb35D=og3KLw`0gW_^%-hjI6{aFs zN)ap_hzo-_$!6V%1A_?15HWLC`(Od>$MUNSZl18B_+qy|KP3GNQ**r3F z|MWJvzyZm2TrfaqO|F=GVg5Q^QZU)+WK9rBXF-lb5UX0^8ZB>0TgWRy5N;)w<_ek* z%l~+OOVawxZp$CSdF0ocomUKPP8!IiN@D1X87iN@OowOuLL!^jA(A1KT1r^7Ja6_a z<>h2JDVVG6rl)y^$O>J;EM6?Sn3qh;TRbp)Ff$P!%&UYC=G3GQ*r((P7RK)+5XvhkF%t5EE;zzQWj8)pQa$4R}+ky{PdMx=LK+HZf>~6h0P`D&E zE@}x&t*&E8D4cu>0}}Lv2bTSbVJy`uyv64Q#L5-P?3ZeJws;XX-p}Lf#^pW z*HW^AKIY}X2P>VHsvtnD51|1*OOO~l`Dt0 zktKFV4iXO)CS|Kp)A9((cbDb@iu2}-u47o;9w{B$B`1>RW@X?QRwuTT@*OdI&QMOs z85)X8c`@)y}{xcTzQFb=QuXC8@0gY2W>%6Jj^Bmc5>6Cej2 z$TLDS{S3znJIOP$9Mm%{$|an%ct$F{sAn1+$u=j?NHk49gDbvL%NYwuBxK9}P%s)% za3+7#a)yF>6e}@LVISq1Jb9{=X;FRkaC~Qyrv?q#c+^uJa)8gtQ`DJ4B&er|kmRxg zr}x&OC=GgwdMqO4JX(2-YDJbV0i3^Kek^F%$3&l&6v^O-#;A3}oKajQ_Y*;xQK=cE zP-~zAPm<0CNkcZM?PG%xg{wZ2@>okM?dZoyICdb9jRH?9;HbxX$i+^H(-lIFP*1!8 z`Z+SPPCCnTq64VsIzcZtw>(GUhj}i+*sfHb69f!j4I(tbH}iA7l6|Mf7es?xQxEdB zM&;~)dd!12GcAvae?>jk;<)TNdCY4xB&$t7Mm<(p10F*nh}&cPSdSdOJeoX48L5EX zPdzpmwek(iV{J$m8}y3prf@?Q%FW4RB>iZu z0Nfg^*vhhy7+xz##Few{PI4mhH}i8zvB1=Gyk}9aSq{T%C4m)49Xxn>CV33)%QH)g z+FD+IP9CE#@mZ+BNy4sddGlj(ct<@ZiR{tl$4Hbgj|H;g%3~CdGHlh6`t_3G+=wJ7B@Q)fQhsk223J`lxnA!--dJUCIc~@wvPl@4F;wqmXT^Fki0Pk z6Um_r1p`+ZnBLsZlx0dZTER$yH`~r44wM)eY&ioH1!}!CT2Z1^7GQef;bh_fehq*| z;!0-%6_})9XDq?vWZDTNw@dYf-eO?--{3Hqh@WBGS*Kyys?)MabjmwVZTo_&3^6cz zlq_nr2A&kD$ZnMTC{D=Lb)hA#Q z@g1`oD(#?97#QBHO2c##ar$igYK1pc@7~{O+Y6Mn~CJ@E$%#3xcpz}JDL_%xZ+3DaKFff>G8V0S#v@_@! zZG}SzW}os#4at-#{Lf;)kyY+Il57ltyn)=-u_YSniV;Fo)lpo)S%%R@GZFp+DAElz zJZ{TSGlmXOF1^?w7I3^ua{+3cguyoL^uN(+aI8(V!iUW>ko#@fJ0pgJL8D*@S?H~Z zt_bC9+Yw)imJhOVEczpx5fHSfP_*rg6~2v{l@=V8uAh zsJkTva5hN9-(^7H6|h93Gt*bFQSd}&k#E6rF%5AujfTNWGQ^lK>Dm%VYys03hxRl~ zt2NOn4NMpHzjQMly{ueETfYDh1jfCPydUi0w^sWaf&>7T{ zAoid*1O7|MY_ScITV*;+jQ@+ijGruttiw-kbuwIqd#*FlNF)tApd|i={dzi)tbtd{ zC`lL|#^yncGMxgGC3#IcGi!&<#MmC7AivpRvz{oQrgMK9ooMJUO z1)+BYI79o+8XZd98NDDG89CCU_88+S{Lj<@U@K#Kk@q=D)0)+wQC={hsf~@C#eU?z=!Xc0i%#>l81 zc8}g1dZf!4803sz9ONg+;jxVxLQ0>w&y+|JS)m%jn_xUlROvDVqRfNYV*o!uLS-dmrwm3t<4hZIz(W&=+Dj%23D1V{b5YQl zY0qHJ%qksrneB|ooYr+lB)aG&!8Tw780RXCLPdEEI}yDJYMWv;3RX!ChRqry7NtWU z4PqDilngNBTIjyN@pFv^OM(;g&tyN|0m9?}aSw)(YFzkYb_5E6nV1~M!?YSg4pnPo zEeQWfVwE;4fT148b|!#fPtY&~t(0Jr0!-S00!*Tp4luomUONDBu1h1~nTQTWP9hyw z1NIxDvFy|mqwvXk7MB$UlWHi&K*INBbpV_eiZdDmEI5S(sZlmGCVJOKs0sfOc`zXb zwjGn612HUOy_V7V2G|Q5B)|b*j$RVLbb1p_H9FH)SOdAF6$mVn$awZhaI!l`3teNo zoTRUntC=AM*pIpm+lGKKr|f_kXzfUD?9d7cu)i znG3Oo6ad0oWjgEVHAXwew*kyR`z!=Tr_lhz(U&a_69QA_*o44X9RO#E(F?dw%g7AQ z+$cv2<1uw61coh3_Ft9?29P-oAuu{03>b5G0ho>zVEE0H^rIbIb}JVwAq4@_h66Ar z^$r+wC_!M1z!9BJa|^1K(U{;rj*6_*m_rKeSf2Lm35-7F0E{`41x%#R3;|F~+Y33HQ3N_SsHpFDxgE2S;p=W}hIc2mNDho+rIr*1~m`8q{#gUU+_9aR2!`Zv=`a*CK|n!L6_5!s%l1MAUgR?UnJpZ+EK;H&<-k7XzTM{cxU5}+m70hziGME&eJCZb$Mf1v_Et`rA`BjH3dNJK>! z4PzrrY&QI(+aYA7Nr!t#JDe!lmCPQG4Z{tPXL>+fm!lT}+mepGu~n5@EjHT-vP{=- zpq+9XC9@sT5&*)#q!7bDq!UTa<#Jm`-YuqgVRu2rwPvP#`vCJXlh+ zLQe$R(^eM1e=VXdItTzPyDc^Q*dpwD_RT_OC*nYsMnYbh$iNU((EA4keuxq&cEQJ> z!(GUSjb$P11!8z=OkbuZ2koG&n087PI~gY{N+Aa>wDjX_lQH%v8fEk`!nZ8P&tjEu zrb@^6S|sEs{cgfc1Rb;OMA!>jkVH|-SRheU3hc>DGK~#jjQT=J0^`Xbk!2Lbx#W1>wd#5jp%l#~b{ zErfWj2ECjn2ncg(f+azn6oW+1xJx1w10%rLV+?`Nz|44v$LWI)EHJC35X7_99$!Y7`!ls-c9iO81-!;g#xr7 z0mjM#gq5Bh(zx^*Lt!#y`%6>gCKyR8V)}rNH9(`F-R9M(J3xD z&?yqF1RItm)RriP9HP@maAMAE9TYz?Rtymn;}QeLIA{_sG0~HgOz@p(Q;9wMo7o`| zM(qe3>@u-DUh0%B1~c0Y(R`XGbwyIMWml%kN}QV|nqAj)QZ z1Gp|WQ7qvfz&v>Ex^@NG z6%?S*M8ku#5R>KqA#1`UiU6Xo$RU%$=5bL}&FFqyWn@$^k}y=l0RA7GD<&&}yB*Bl z#*H6lKrofD@2DWjuHh8DfP*T=cf^^XAkzLHDzX`Chbm|$yGSfAZ8u;wSo!!(G-ZGi zunKUd|7RcYQq8xyitOkD}GU`&+- zV9dn}(w8x*NZ~MX1TLholT+dd#r|ZeVEkACO(D6>LQ6Wzpi$&aaSL8LlSnl3jH-r5 zl8viY{}1mrVD=~S{7hhi6g(52L8CJcDrzzr#fAJfqvlOO%m@-*pUDIqm62{vn7VWXittH zrn8Vh%#>dfn2jAI@;gbq7EhnfIK%- z3k4WnUE7m$$D4L3J&Tl(My}r%i_4^0cogx`#tAT{I0!K4Z;J28GSbS7Xc-1Qawlg0 zBirKQcR-l5Gx*LNm0&Py#M@k~m4pAV}4sRXH22&Oni48hcg3dV1$<|O20^Ffv z5NjCih{eHc*_pnKDJMyJ6RqKJc7>!M)me+kmD*cKaWQxD08`!)LhQeF%K(O>X?i@= z$(p_8EN7HQJW88hz?j+_a0aS|8PK*8g>VaZ4w!ujnC-p<|A{qV*OQc)(MWLo%D_+= zO!@ubAd^cVTxGTq@q$hC8iUo$CL|suWqJu)X%!3@-id8XG^_#MnrREe$qRI~8J6jc z;sA;{*{xquWNBf;bxhTdWbQR%=H zVF`|6s2OWvdnwL#7{boJkP%?h5woRSU}F6o*j->*fqw6r#VB6BEto|fq>qMIP}N{GdrVLJ0_(9 z7?Z~*Fh-3+W|$>`HD-kn;Q>P+u1~Ykf3CUM#&Yk#>50N<5{j~WBys}M~;Briin5kr~;*; z);nZbeNprJn|92R4*J>#u7v*pn(Jq>%K{1a=q(2IL8oM~i(yZw*^#3`7RJ;{psx-k z`%GshZH9(MI>(C>045>~CUOMqH%kIV!wg8)j^-d?0|Uc=3{2wbl37?(9lLh$pqUxth?Llv4|xkR%xmwHcAx9bv?QrTta#qi#j@82mcS%tSn-k^73sPa}36? zGe)q&AVIM+3u7%M+z%9E&{X5S&a_fNnHXa@Kr-VQi>SJ!?FMcqGPZ=Qrd1(j`hPfp z%ybGZi9+O0nIk$_Cg!vpJ~~sWj=s!U0fEt;HcIB%S7P9{5;6`HXK+e%1E##QOMe$#*n$^c_GC4OmxEHUAK7W?t`4n|aPuEES0 zmy4MV!@d~{lFZZTXuyz_&*Gr6{s*o~Nx_hfrq3%7PF9S~H&kW@8#ShNz1k5IG=9bl_4mr4)cEKOaSyYFz|) zYM_Q5k2-=SLQC%d(6KgP%t;SX+-dUU>7S_zwtb8mQGNo_`=aI>z2t*YOEwkjsgLGI8Sk@V=#ls=N zi|z3__zxgc#t0a5Km-`hcW7crPK1FmM-zyUl;3=@kq?~Y3z$EFWn&FwoiY_D-(%jd zMoRkVBPk?UkXxh2!#gY(0#UeT!I9~YBQ}Hh)1BeDP#RlA7MV5+fFYkk_eHe~LkzrF z+sT>0eyCW63kdve8XPvU5jc3n^REEn#7}|dMW@`Er zIs92WH2t}s@n)?U3qg|;bxle5RQ+YU<6=2qhrQaClw+qf#$puK-#m96(Q@rr<8v(C$u#?Ax`o*bOg;ZNnd4X6v~3g;#%9_m$dh z&s@wBe|%l)8qs?wEwy*=Ev`%Z1qZh9GUv70e2I>XEgqNDW~t2AVw2nJD|a+R&{?#QnQ_@$NG0yUo@#<* zYODB(`<~H9&ygkKbLMhHu0Ay^YcVugg7oU<7CW zd)CsY3`&QCGc-zHy|}9+cggRO`Hjxa(3cXp=k_z@NIu2!nlGLgy*GHaDIe~|OmSDf z@5kJ+f=Rn+p_FAmV&9E~Z@gX)#H2sJ40NNGT{CsB^_LR}e3i(dJ=MP6?YenJ{;l9J z@nrKr%ju94h7pUFrqUM-Z<-r@yg89jWyTua<2c#5f5L*OoaUhLc?;pz_Bq?P@+tEb znttIXmd=mDih_2NjCFIpD{a=@kmlf2h_#ls7`q>F+`h_R;NbMV57;c(3#29{aiKy& zI5pbRU~UpGR48T=P^}PVcqIkKqYMVEuxS#k z{I2!q8Ro6CKbOb2eU5qfF?CD#`BNry8W*gj>bC2-Dvrd&&UKmdya{jB!W4uxycini zQCm_=pVPWLxAn5%!JI|$*J+_TZ#`IabOK*K@QgU3Xg?=k_LVyO`d+oEaZ}0JG&NI; zbD^3oyK%C?d^O=HeKrIhUvq;jibSHx*qVNIDgsHtk!qZ@o+1!v^4c4Aa#sNT4Vzuu z(7@n*;QQS@u%q_VeNV2V9-*#ZEPPpK%sLT$Ves}@4p~XOw|=j2S^EhweanszXXh6b zmVtE)oM*bF$6rAkbehpD@R$bw=xe z;5qlkocPmbVm(6@%x9?IGUi&FBG{puN$mysLU;MbzanA`cB?b7JhF~me%Hn7a$2wJ z!c1C|)p%mul=&oi@i@nUJ>!-hJ~Kil@@QtMMsCKdc^q+~w%x5+ZVN8i_dL})-z7fF zZK*lh-hM#@8{!|uetJ1GSTI6sypAD(EVNdJ1hxd(3&%Q}ZKQcV<}q#9lw`S^2CU=wolX zW30dOA?-PIFyYAn^-53kt?^GJOo+_zt$oicnHrcJsI|!TH<{q21|&TWrU z%BK##Zn{5*saGmgoE&7=+v_YMW8uxcKPuSXmw}NB$>-=3AAI=e^9zB7 z0|DMPsDvs0AIG}PJ0EpTl{x$E+hu4`aoJqV^ky~}t?(=zKZqEUy{l`A1)pvcrC_*W*4%oSzbw5`sYTZ$m9c4+~-0TTg zc&$&ipR0bp+3Dc<1CNAw0_xK4;COK?w(hsiE1F6?m1`$q#@D5_p@NzGlbhS%@tcwUI= zY#f6Mx9;|H+Fs{USo`E#j1*G$q#5&xDkWUO?65t)N8$SV9bY`>Ia#I9?o9Jx)P`^G zqli)3x!3of`{4U&A*op@_AQg1Tc?6)a?hY(EYP$01iFPc-%2)gn09R|Gx^+7&0ISjoe0nB^v2 zJRIb`NzW)YoX5z#RoBk`+HMuU?;bvh^&hDkb)Ju!=JRi`XXG=jmwfu2SM}HrC&^?6 z!JJlJs^Kx$ir3C25BOv{AAE|gdo1g3rgeF|t3xtjKaX5ij?S%}{inw3s!bjp3kXPK zeRQ=#?AE^AV7tZfPkXT6Uc=%KFVhrjkys%NKB-&5ebLNgieXRK4&Ibv{uoM1QCV8w zrkQU|>arTWhqA+frzggZ`DLwV1lc5=oz z_uPlxP9JH6<5GFz*Y29&AQ@L9ODSbyeB#ZDF5{dtaqlmh_^7MS+}LVSqqbE>D0FeB z?yI_kqqPagiNcD-HwHedzKZHNsoi)hKwysl)V&O~@O_GV9;pnzYA3uGvN^(0{3z_b zF^dp0ehZc77~|tl9tVVM^o-vV&t7)Q@CXWY*zD)}V9yPT7*VG(+lYc>Ft--X6&LCi zzpljUFwvwNnTwA)?6XN<>{);I(ZjCeZq1{Yo+G2LHE+FOar8r1dwWUUhX?jZd&E2D z==!kjCY5nFbBvF$OH>gI1>9@jd~w_+dvMaR?_T_)OH|dSEh0vxLp`@=n;z{*88`k? z-3Q;)y+CrFNXr{|o6swT5k#M2NjSPg@Z3Cf^Mu#Y{X?59?7X-v_r7@cWN7Z@E2E2* zsh`cbBKJ&r7RL|C7PE;D2!&i-4r|!dAsLvx?uCKyw6FdU8o7ll{vJ9byzVloXIahz zn=?Kw8<=Q7HRv`LZ4KTLFIKW%y}a4Kft;AiIdhsfN<6Vy;D^Jyxt0K5n==B;5f_uM zC{CAR&s$e5ozK?6IewbtTsn>MuBjfGY7pLb<)#)zSJq+ZS=1NrYPYoH!-D$T2PxFf zTY-mP*yVWl4Tz7dk8y2GFjF9^&6u55QR%o>cl$|beV#x-)M1B&(+sQt`2wW@S@TTk2raDL9C(nT$S4N75kyb zL1>CJj@5+KJ524{@Qucdb(p=MHY+oPYY*QVyd}LinMG|*t97U+$;TtGh=0om0$nazH#DpQpH`d=}+>T14?|66yi(sj<_t=})+*RA+O8vVX4_Cm{Dd4Ahu zX$2qHUTZWOJF2ZZ%_;*8X^7awNa?szFB;ge`}7x$9O0f3YUh7#2d6x!X+RuL5a{&s zJYB-M++&>l^o1mg=i|_chRCIz>*cjCU&1?XFu7)s&(&9X=7OuI8tGzqjb%;j#T#y1 zB#%o+gBAcJ^@Qq)mGlJO-q8^; zBb(CEko_+EwvzQbHlMFK9(G>aorg}3?$ViAH$CAY65mp7~shzFsEc-8E@hoy?6L-;V}bCtSHcwZF0GY{yeS z)cC7neSXn&`SHZl1*guPZ8lfxQCnh-mG*NId)Dy=eNL9}_^`Ej=)PqSp1@IUO)InM zhR&s=AJChzy#{Qa{vIKr!Je*u^#5o-4lvS0LO9@xD2NHxk%PDda2TuvTEdo1)z&j4 zAS~G3GeiQ1LDQO0S~af&NJw5BI2+)$k4-~^P1Qic5r@IRO|l3D+O`lL5e$ZYsf6kd zhn*56G>S|lN)W-jNWfBPF(Fbyzd20+%`mi}1%BF*P#y#ZL&F^6V^JyOf4itkT!y__aI>Zj~O8jQL7y<=_CsI~#MiY+^``v@U zV96*7nF!VfAMNBJZo%K!p%77o6Fm?glD-BdFG|5W@(2z_3?8gWTF{pbG_COUv~A(C z5N_44Q_wg%f>WY82ILy^M~!bkc3t&-qsI)gXJ2u+SV`$)ALVI%5eEiY;!YImh{f{k zLL+-!(CN^!PQE)G*CJ1cG^9!HO<=kHX-N5I4W&=Mxyn{lWhO(GY|A!5Zpqwt<^IFt zsXZd3r{%x2$Y=d*VWNyZ)& zEl6ytHlRE3#ITr(TixP8l`MOzch9Hsc!VN~3UlQ5eF!wi+zH~g)k~so?=$4a3M|5B zE3{B^@4nu|k41->2NS&#F|2G3@#mf;913U`LA<^HL(b)qRdN@@)};gTh5_sENp$q1 zp0=FY6V`v?Jar$9cvy2 z8rfo3s&0qt+rkTn`Vw#Md_H|SzOwnWRl^3Ggs>u;`wFR2uC7#1bIWqyoC9Akh83_d>&)JFAB?Rt=dv-fyV8Jq@%{)4fONG zJ?ZD)*n4$oaKF9U>%rp>o;zXr^|ulWwM6rVKPswR@7Q5x%B375CeqNwGqb=~g@5YS zYMi4Wgm{sE&X}tADygUEeHvdzelX^ZVl3mms;n(9^%%nrd(Io~x-D|@{jqO#og%cX z2eJ<~j(@m%H|}=z_wDDF8)a)S_DmK_BRhI<&5zt_w3<-r`O?h=UndrOqOHzKIG)>U z(}GD6c9V$Ace=Ij@LaT#!MmnW7mDcdDF0Ve7mN08i#wuO>_$DOPu|1EWvi(WWX`Kx zl>2_s|BB_|$2Oi@-idYfOSh;;aq(^+KdG)>fY?@(c}1>qxTa!2G_k5(+63ON;st$% z{m`GUL*Yj4w;7exKF=gZ>&EOxyna#C_9iA?cp^D`kywg#(fpk2d5ZtunYfP{Uv_V) zcm+pC_29!^Nh}T1m6WI(o;gW`7CTNm=lb=(&fT)_TYTij zA982Azp@}qG>3wl5=-z|rw#G`L^ypL)TX*C$6Q=l+@JNTY( zfD;?;(%t+{TNee!fy;sAV$7)J^^M=hwfVbBUzUq|%(Pw%IL;Pu(f!yD#Mtc}gR~C^ z_z?8B)j>i4hx>bM!O>$2Vat}_fUrOb9F9o$TWqSj{{8`>Arg)fI5c_1li{$Wwa=g5 zDJ$Rqa0@)_x*n2)XPXhMHPcDX5Ig>-+XZH5 z;#mSUq7U?#XaiXsFlR5436?N{$iS`Xens%XFT)|74fLo5VlxI00xJOoRx%DnB+))t z3BZA32rimP1P^U*1Uv1Pu{NwJhG6B#p>QP7oCrb}9xH*x;ZS5e{NX+%KnrkUr(L=$ zO?&W(3&PQCOw8#Qu<(N*ho|5quvid(iS$o2iURi>gaqjqz^Y`X&9s!y9B?p#iJyl4 zq$7x-kWfSnXov=G9Ndcji6)b2BboNJuj%Y7@dCGo4z6Z!{`_v!0V(j~KrH-oPyT^2#4UKU83;`{|0A#*`i%~wA z!asY+a}wg?#G>#7>>t6BHWV!&P7i>%zuUnQL0fQ_)GTTEp$U?o5r@L#NHmi}n_zYb z;e-Thm}VWWj3D4>O^tjA%qq!1?c8Q&^+JccVR`#hPxiaEhW&%?8$0wzb&mEU4eygJ zlkTr?Ef^c#|I|m0ll!Q(&F5Q^u^P$Os$A7h3OFxqJ0^9cuiY(Au!s8jp%oV5K*3}W0P5pMpUTK#5q?w5tPO1t_AUv7STzFx2u#aOr+T?Br;zawQgGKrK zE%w_U%-%9^;3Z-%eMn!sEO|fEI5q3!e#XA@(3eTK36b|(EnD_Kk#vwr&u^Fyic)(o z`o&~JXOQdn9?ylg6nK1MjBED0iZB~fW(@~p3`xekZ*JfN*O}mr^MZ>J+d9h1BcNeR z?ydKn5Kqp(3eulyO?nWB5so;!#d9L5K|a8;dNFWk7U>}I%+Q`|y+h0D6&>=_Y}3Ta zwwt_P&-**6+7EiWsX-^-W`8SV+Z8A>wA*z@S+h?-wVkF_w0r7t!@*X6o9<{G7GBthw}nLDYp*s*%-%=Y6_x>fI<77@e=96!D)68gmwuZwxh zatKLrAV=huB%@_|ochMasg0o1zwKRgT<{N)WBM9IXM=8tTfOA=K zn`=Iwu;S5w1;u#sFMgaccPoU4cQZp02pj>9VTMfU{=Cu=!Cy0w>j%LjicmCd%Nfr4 z0aj=eL_dew1__e?iMJ-wosjLXcLp96s^vov$@Rcn`$GaaBE54fNF9Uy1GK}sB}l&d zbQGk{1YEQY4DqeFYvAR74M0~b?GQu?93&5IfVKFcWF(HX7JkyI!XWyoCPyT78>3ZPJlcl1)K0gR0NK+I?W09rXX?B&Fo=W~uqDa7FgQ!CjN@QR0^S^0Epiod)5{71T8#Fuh z-Z72 zK=sEHCjK?C{EcNS$iA@{EPdc`@-xQ&IwUOUFcAz%xKsv!A$o2I2Mz-uaetCm=r?8o z*&WO3E zzgOs92?{!U8$yY?%_86xk^9!xhM6n7{2=@M%2bBia|tse_SodyE;jt&1spxu8sTw1#ZuDJ2qz7!XZaA%LZ0U!Q*B zCF*t0;dx;h`Iva)Z^Ks(d~dY~KS&!qb?YT|eFO830LkbD6jg|yKh8~TU{Kon-L39* zx{7v{xypSKuKd;U?}Cv2aoWh&E|SJM!l%-hPa=-xyJT)Ed@UrEsv>b5m5kAo-^$Z6 zlBVa^a@~h{hapDN`|5>_o?CW5ahK71QdVcp7rAM{SN9PT#hs)OXFwJ~J=Qm{zPig> zRf?mv!e>f8z)YQbVQY=eHy62L#Zx!a&}<=b$Wz8%>y4rxLoEBDq-frtjW68?1v={< z%U{#Fmnb>gmv)D9+a}rXwU`#`YWG)dDlN?ZgfhLFDc;YMM;j5z9%tKB>-*{-OyeDbp-b?%kjj>(BmW!^%X35$f2Uh=a%!zBZ$!^icbI!11eXkgyGy2~6B zNs4HZZ({W@-f49EwPC=H$s;r`wRYAw)rz90p5OFfBK=F7YG&DBmSi;6Y#wcR~C$=u};jS}*X)F*o@wvVGQ^dNn_%Wm zO?h)3-F0*HebGFBk-9&7beN}y?ec@Z?sW6zvG;UI52PU#3^__>9zE#iO7&3EsMcDjlCf(}RnNRnQD11}3lKYYQ zYUx%pAFVBZBC6=#<&C%aQ&W|mT-4fhc+g^2xbLLu_0q1WiVkT-wyo2c7V0_`?7kq{ zhiZOWP95(`tlxlJd1wDj83J#ff>creYpkcN#;jlRJMt=^#*tTD8;-mJ4kSS4W>d*e zyVSrAe{Dyo(^ERm%ttCn9ECtbKav2S=(U`VLR!t~#vVx{=%-hrDlKJ_6V{xE(%>N{ zkk3ghK%B5_GlCz^-G>y1ofjaGl2OPjdEGyXwUpJo^q0Jj0!wNl053(Mti&zaYmu5mNC`I4Mj!dYzxUFPFEgJl1F9iOt(HNx9V9`WeB>_I@<7VZC5( z;l#;(RvkttbNp0v{OscBCwqQkxA_4CwuF3;Fw0`WAc(RXrMsf zKKYzDS$*My;<236xCu3q|EDf$B%6YAnR&*^_phc1yizT;EiW$-il<+{UiAIwsppz1 z5iw~4RPS~o?LiuY%FFt`B$vFqZ?EsVrn|zI2Bo581LW@7ad#An^n)0|T*oAE$L@^%WOgM--CVGmXQB~n@_}Qed%A{BUSktx4L760N#~VANTw+X z8_Ufb2=>$B0MEFJzD;St}3mQ4^bI4jOw~bJc_|=zN#lF{I6z@ls}HUc#>fc?PHVImqNo z*+4(Tz}CsJi`T69*R7koL_I#Y9k*XYi`xYIC4Z*JXyGvjSKXxL#L(Bh^=B}IICYNdw z*w4jR=Wm|w+i8_m&UI9nXYin%HEFR+W7#Ngvp$=g2)0^vYr)~v@wqG1p(_=GQ~<4u zic6<{aODj{L!Ji0(WmiYd`4_W2R}dN$Yb#t2{m1esmXEQP-Z#JR$nNX+Q`u5Q~%N< zdJxyb+mV@fX;X`Opnw9SZGm->Yx{GGgMP-9b=||M>jF7TdD9pq_+`yEZCNLL0yE3w zd$B(&42OZT$-{u!7B!of%Q?mHJ)b7i);k^c_&59IOER21C-|3|o^dlo!!h;&vJ za8D~f{TIa!50o^JY9m4Tr5$`FMklsF>s6=6vA_diVCxP@k!G^M0c+9UUz<;?GiwET6 z3iqdj|0|dHyKaFr2ZaOK)?WoUFjI85Y6^5sJZ2@xOQ;$VR#KJ~H|jeL#6dC*Qc$FJ z+CQ)yK=TidD=A+$5%};d_3W;?j`!)N_OiBu`~~R;T<)49Bzv=O&xTE*h1rrWUVLm3 z88W^6p3+Lx-JX;m_f}urV$VrEk&OztEY){?O4jO$<+3+&~Qs@#*o&emmPaQo!foQ$jM{Jd-kaf zr?%{t;_a8pVfDK1y4O~_x2Pz`_}y~Mo51X%ENl846n z4>xdLwLOpajLhQcO`ki|d|*IX>1;@U9qWdmUT0B(u-fWrLx=Khu;Ii_QdB2N$~ZHa zD*39jdIlTbb8VD%Qs|XManrzk=*cptEt+@uw6257Xvn7OnnQcgw0%j@ilJV1G~tbx zElYcL#GJvVp1^9odsruMeXE-D&~nucPR@FsfL)uj?p|8vukRbGHO3-ti0d7BX}Ip| zH`GllBkQ(&p7ssfjH3;T1T3ZY^AL@&&%3E<9Z~y|*izeib{2eNVwlVHI1>H1&tyx2 z^Hv%+1K*{Vff}!C+R70#Wha*fa_txTL>@jA6HTF(Kt`0WNk7byC+{ZP-Bq|Jg38{q z!DGsXu_;oQap{sG%ZW!erMk>nE}LJj^G`@O!8B|WS3Oako0m8Gh)>mbF+A+dyKW3lEb=DIq+p6Bha zLMAMO8-s5L-TxMOH8?qWdd87~`x^qwd9#Z)_Thl^pV>D2s*dst=Y{`8HCaJT)E&^ zUC06w!2f4zNqLK!O&vn`DiImIB4u&1er-d zD8f;&wA=H z(0?!_6dsl|0ie8%2=UU7G2kc|6kItBmK!q(;$CS6QqGmS5kA;ka`Qn@AF1jju)@6F)NW^D9ZH1fZQL;0wq(>=ohjk`O2BPr8W+yGVoL zuv^<51A2FGM=e|?#|k<&Cx+ZME<2IGxj0G6Sn7a0i>Fxq@yE~Zg}W@z?oT~@`kAHi zsi}QuN3YTX8m=A2ZGJ1j6UuWlk4bfllXToATTzREblGR}30G=CHR$<}P%$>;!W>?` zW*ggE!f79N@#FlOdq%bV@>(V=3`}wayt9j_J@pgnGVx8eBJXYWcPwBJ;5ecf_=k85 zNO>|(PZxR!J5iyFN9GGZcXytP?BKcOz3v!wHyePM zH%gte&)%A%q2#0IBlBZ1`0n*}TV4I|$tN3+5N`iy&k_Cln9*)v=|YPjbUn3rtJd~J zKkBaULk$sJb@p0nxtvrx$G7j5G8oDmtS&m#Dwgng%0xyQcT0XC=sCnNezUN))v-2k zn2vR0$33tyXROo1+ngD5V2IY1mMuuKQ8wOTs8Tb$R31FcY_T2Rb%-F$FY`u#FK%6w z9d``ne8a7--hE7(1m+!kUOJxSPhsAE$=Qh-C&d$8{LJ|I%VNU}LC>=&J{s%S zkXzK4_VV3yaGZI`_F_wSS<=x!`@yk$c51AdD5tIh_GUgmW6kG>rz9ozXD$sKPL1Dq zJ?T-U=$?rQgDBo|%h9G%Z|*KH`-s92qYGLX*7E5=W;nXlE$Gm8u{+If4{kQ^+GUxW z9Y}T0eoWg+4V!WfJ@hs(B|vC?=jmFucb{A959F0+do#_|+Bf9UTxoqtSH%}%qT)8( z_f)#EkwfI+>->phwR@0@rKQ)*qq(Eg!#3;WY=ichKM%9e{dm$^Wv|2Zd$WQ*38{eU z%?@#<5Kjz2r-l6~Pt}jI9lGtpt?`Qof4qHjn7XYa+j~r|qBXK_pSwU|?Y`lC=Ugtn z-BbImRmlH?$=xf>PE+^R`NWhKi5CtPXG#k3jPb6!u~pws*sVo(scN^!3rDd!O5dml zT-c|?Z^_cX@I2IhSS~@clSBK7H+AgJS5xn0%U5@%?VrxpU&H8P$mG2KaJh918-7&h zrnZu)AD_8N%;+uOJl?y{USs-kf0fAfvg@=VG5z%y3ybY{eLInVJ9hfo?&nKUo}J$= zs*g`LIO@B5-|eYy(Xg2DN>I=Anw$$kyA@?qd~Uwf*zM8wjSZEx1Df+1E8j7cb^HBb z%~AuCyJ?l5U~~V~BMHkc$n~u?b(8vE)5KBOcMBR4XfR$uYb^%RG~cchio z9P&Td8CK$hMCq&*_l8yS`PVu(Jf1{H%{+gQRzIr{XbB%T(FQ)5!qg;)c>@VZ={VqI z=;ccoKv9r@3j>1HOQazDFbv`X8MqtPmF(u~j&*m#coNZj06juRyQ5vPZfLv*C_Fp* z*$Ga2@QWoZklx7voc`GoyPW%OSDZVA>`uYB<2)%?5@C;<8ySt+<4M?qCKCu~S3Ful zLQ4yNCJBkdRWL#kmXHE|o=nTo;e!KmAOTq49O6L$In4&tYB2(0fk1}+%^@j)pEUe0 zm3jd2!!Bh&t72hc;uNF9?%y=R0qO?75(d0h1ioJwj^8#1N(=@l5q}E{gujplj)UWUoaWTKI#oJO4It$=v;pkKK}W(-hG7i z!aA{N&m7AwPrfgNje2HXHU0Ytm#{R`5=B$xi>XZn{9@+`2crb>?Pz_2`6d=q5z?68!R zkIjJKSfTuQ_q#*h?jF-+XJ0Iz*mu1~%wu}~ox6rMg9Fp{+fTl}NU%ElsN$*O6mJp( zQ^%e3t3}vJN3IC*{qG>vfH3M7^)l}RoBT0|b7!u6xYr(Temi02c5{O~bPf?bqR9I3 z$1=y#2>J|v6#VY zZVacWo`liO8%bZb8ZfYyIhVC&6zwbcUUnj@{%l4T3!A3FMiakxJG&R|6<(ztBKtgT zt8?K@P}135p>M#4+0Ej*C8WvXEXH4qzaq0jzHBgJfQVG;U-rDCT~@O79D>l^@^ss^ zDb|wpy|Vn-(hwrpDJT>o+csW9bKtl{YGwk3{z4LV%KtyIrHncF%N}{ zE8=`bi=R|2!b~}*u*bfA<>KJP-DBmYa(h1+KW}|5a7iHhZTSlq;l%n2N1Ee5##F)`#QV z32mQ33J2}|XKojK*OM3wh))X;&7s~Uiyo=UKK0>ndSXy|S19LrKIg(#A*(`(rv)Qj zh4*w%?;+}E&vb+yyQ6pAct%d&FIh&qFluo@uj0W4b48v7gEN!1NeR*i?e0&QwU-C) zv^OV|e&2V?SMjoijp5k-Ty)tpyyt{FW&2{-w`;1LY4#i~<<$zWIO`J&6<@#PF)t0- zT(4YG^(wUV>I>?+tLA-_OXY>f^kk=tSR(vR9<~u;4 zzgm?5K39<=9#F0;$5(V!a2{x`tKV1k3OteS(dftNxSIaCN+#fmYxY(O32f*m)(o!7 z_Y!#0ssMrq6;vx1uITlk9cj(rs$veluNed=dbQok7~vUpNH_q$rk>!})Dt{;?PBs; zZ^&yGuPQYX_!UYV++>CF2A`|C4ES8@%nE%?KfXG;U#skZdQH!_w$O8!^)QnyghrqV zFxeL5i)hTMCPP54YBKfLZJ|35?0pd8fIA$Kq44HIYdIraK}E>J5;!Cl?wE&I;B)rS zar!1nx{mQr1Q`P0jleAYlE#8ch801E0Mc1{Awm7kZ6M8IUjhCr*%*cdYezt9l?0&h zfmWGr3Y)A40Rae18mpt>*bNa7AfGhY4do*MVMZ?&tY6#>y@%*W=}8#^>>R05VicgM zj>f@^?jWJUtg0^-{~&WDtX4Sx+UphW?q?KT%RdO1RVk((NHGM69aKB7N(rFA8_tPZ z9fAfEM4(j}n~4!HDEeez@f1*hj$cthKutLoXz*AJ8E!IxWY9o#2S~w_;)!;nV6d(v zJjIPb#DKp<4^WXwbfaU4U;2cnSG1Uk)AbavHvce;2fQ9wR=--7`(IFJ%`!YF42go8 zK{ZhdC@IGSjR;MLA}c}=y}%O-Y8XK2jAR0|S>~T~7H$&&u^v=KYV%=0-8~rxWG4X1 zh&W)9OooHbB8AtW*#8(g9I+oPU$CHUL=k2p1Rx}Va)zHKu^2oGOINi1ok@#LOww@V z0f>WcH--wCi2yp40ABw;?1G~975w<`?2->cXjqT{nQz2lLCFVrG(QdENMN%7a0~yk zqc3Ecq+m(}cmZ1EApVu<6u=OHGzW@rFeD79;Q%H9-XnpX4@1yqdhN#lxs2d01z=F{ z5HQH60SxL0Bh*BRC^R1Qbj>WCwWXJU{428&QeZ+C4y{h8q!!W?wvL2Y{^%+W2eul3 zJre)Qri3b!G}oV3JrTT4rm*VaUrgh{qM`s7`L9g7$TLYJ04UdzhJy|+gP)S$AV2G^aAF8 zJ9Lg1u<(x&!Lm9|g;u7Ml@C-zfxwCZZ!8+*aTuV`lHsZ|e`H|)Yo$)oO+LUwppaRE zB1#7DBYjZ<4@b9(rCSAo^IuzamH-QNIu_zUg5XVS(l8Oj(oNG%t(nFF7L7>vR{yrc zP0HZadJqS30uoR15&%A+UIJ8^0ZVI^@pwSMVZk!^x0d}jgHD&lg9z=a1YTOYQx(AA zFl)8uz;ms1AC!V)SN!w;Ua9FSz~IpYhy!$Wgq3MU{TCyVP-GB1ej3JNLD9g<>+mlO z8y;C*u&$b5!LDp(0*+;^r#Lj2Xs~&JULF6Bdy19@J>8NBhQ7lDGKcdM>977zgMf1c zzuxfg4Bh~*KFn+iIjp?%{1^%fP3H+fpD<|fsOa0BU`*LRUZo>RV3qcmg0Ag43bAa! ztl7iTc?SUG{R{nC)*~sR1hCl; zu=_vF(uG6{{{N$=Q7OReI0@pUojzzNfI$PY7ftu@;F-|96yS&f)TG0O|GgZYjsa80 z%z*b8Oop@ossZl_Jyx#S#1g?n0_7|J%BH_Ec+Sqp!NO^y8&=Um0>c!*R?rQt83vig z3SRnGhMi=9Va-%vnBC;(oPx?1dQsF*yLbTf=wRaC%)ysbFb7QLpsQV1kXkD&79RyL zGxSFT%+Zk;8pI{|{nLNEHm&49PZ!caPjAreaw3_S=)P2h4#&t6YsRryu$=>>SpV93 zRXXVK7hw2A7ZVele+;6)s0@gif6Xo(0FeNN^snuvWzfSD98-rh-$+1%w-f)ft9UFZ z?gdiWzc%TYxnlAI0FWya%MTng2_%X1jW-04mQg@i6i7|}(ONA)fl2kJt+>uAF@%LM z1xzMJV$C9!hygWF|H|M+`cpE`0#7MB6yjv0lX?`mQ5K=dM?ll_*`JBW$_ZK{jek8B zEH2zCUk5jZLo0h?@DzX&4)L*qZT4$t&hCeVSFO>ivH&RuKqCPz0NZBZWB`2sbB2K~ z5rOT!e6Z3f@G>i36r^w9+)UFx!N-S|0GZ@UDhq@dkQm`Xk#Lm-qy&$;gX%mC1`lIQ z7!;^yw(r`XOXfbjaQP)qm%~<*NMj8(f@QC4(9`o1^D>&6%G-R;9+$lL5dR};i}6S1 z)GC^sheOA}wGb~O7oR<@lQ;Kgf6`*t+!Mr~P~f$hOW;mYV!^#a7RGpow#V0N4!>4M zH3_}AQ=Z)Fj^FRpepS;+gRNF1g*nm1F-xjDevT@==)2gEhNo8U@QF4%|qj#5F3a zWGL7}o&4g^_Oj&r=F^vwM_P-%S%$t7%t!4> zlZGrI2O0&&eGW<%G7`D%*)E=nb!Z>yQ&bYP!tSD~2h~=`H;H?kN^H-Qe^BT&jX3SZ zAvauCb@B67k2G(E;@pP9qZK-ha$`w$yQB^$E`{&vO!>&~po@rX(=NKpl?5@r_gJVP zLK;glwzB?WyR-B4lWy(9g{!3x3nk1p>v9OjUE!S#wZyp2O2>!@Yqx#b-G0y4`4*!= zyj0u|>N_c$cj+5og5i^|Suel$XZHrx2CSR8aAsevTxz$crbTW;n25a*wb3IZ%lWwjH4Xq>}Q*8A3mFY%S-!BHo?r zkJyoR_KlaqMUm?&qW3KX;V1ftjkih#p$!GCeHYlI zA-CF6?9Rmf8dsODn7O>6scfR#qe~I3I3UjZk}of^gl@Tk}|v{KWQH+*)%n>+LB?>*q-4Ydug{YGz-`G?uRq2+Qn50S@7Y!AVe$P;ZzKgO z7!OJxx|(NfX47UeU|d!aPB?G*y2dVDf%#C@@Vx5nmR9-UftQ?;htS9N7&;`Izw*6m zzG;&0r2CLoLyw<^xO3|1buWgmy96f--G9PjJ?t_=#muu!e0|RPfrp{L*?Fd7sjURn z4e4jmIJ-?VYBPtV&Xyjxuv-^c(?v`G%RZyTZ3~%<(u1cIMkFo{u-k`>r$_ z6*&Elsjz&y2Y)q3lo^5Ho)yZRa0^r9_Y6p*)m)~{o>5po@@}K(ogSA9+f^mz-TQl( z7NVWfGO6GFevEz$wQU*QuCncfw|Xv{ZP@uq4$}Z1lV<0FZF# zniA$85-ooS`4pJvHPUQFW%|STe^jPF8`u9Q8q+WQ5aF-N4*_su^xuGh9kQU6{W;*k ze71^Gd+}1t0vmKg1Os z4uBkhB3C27;$MHs;?EZVjdUdk0&sI>MyLdk{{8o^>G<#O z7q2Wa)PH_L&#PfqPv8o`c4F+Ry17;WzJiz7a{#{6ltr?D9mcDe zsdk4?zzZV(x0&6Np}VKJ_Lw9;MhY>JQ_o!cEL}V1XXwaZ(SdLFEq&tdH$S;w!M1wy zwP0H>`G;hIu$i{8Yvazm8_#n14un?R?0Qh;y^%9m(12GFmApx7uh8a0i$9)nTrG3+pOk3(q*r~$@7od%f2j<%w z?}v)La%>0=K>JH|nSZ&7+jfQ|R(^2AJxV}pbo^A(^=Lnyc$LDOW7!YVhIYUD9C|85 z!8wX@zN;r!pmL<#W%e`6y}_$n0{8RDE=yP#4oup;m|!}(bP%2nzreJk;89=F;pU77 zoLi|CPs{Ed7%;u3oAsRWM49;(_H$bgKl>E@LR+)_My^Z!q73!LQSp&MTqM8mneI`p zFI3F{X%ELF-V%u`_w`eRcoCQy>x|gpbKtk_q&7q;)=gi*Uo8x~;`wUKg?MuK%E#Ej z^Lv_n2Hc$jo@=pa?>04a?W+k>-(h*P{?ZL*&vn#~PmBByv46R+m2u)uLJ>av%;QLm z!|{G{kf2S8a_2#QnNdAik*Y3_U`;$J(x*pYoyE^nC;uG8st3#+Gdj3`^K0R?! zWMBI_GgYhO27Zsf1>+A4DvDYU#B|y#6RBmD-@lzVk`ZWN*(&T$s5SQ~=Wf_Ey-+Ps zjebv}dUGduWfjNvnQ*~(o-)Lqc=br=_2_!LlK1bFnI4oKt=D)plm%bg$baP8laKv| zCL?D)lt|di7Gypm2~S2WFm_A7%b4}5`mT1>PP;eYg({VyHP`%H~60W`gCrx zCs_Nb3+=9R$C8_FGJE;UMrLYijHL>HwLj%c1V z7OLx-_u5dNcaP+iXcc>5S9VjbxyNW*@A=rh@;>2*D>l7KE+>x63-84Go3`b47^Q^W zFyVRT>3sc5$%WC=)xf(WcS5RWGS0SnDEUy{ zY(I|J{n;X&D($r;Dd|3GAG70*dz`JetIp~%eX4fPlf6lOyZtuT`AX&EE&5id?$TSW zqH+7KZ9W_21l?2q5YaKA70J^#YU%p%)x-FoUjjBfX_8;}{C>gK z%`z;xBq`LE^PN#xiL(3g5}e&iJSXxm@~~E=v&P-GN+{oZ+?q{taNqs!2VFNWE?Dfz zG9g7^tIi{olc`RT1<84-LH&u34NvvnG)B%Dh~C`JCFd=W_yn=2o1p)w*pZjnwM8+{ z-fHHk8zZjjnvZwM{TQLI1@VHiZM73a1C|1t63Y8$?{A+ca(dFvhNVXM%i{%)Q)CSjie`AY_8sBD7gKgPVbV; z>%E&3OFLAoN^j>iRyU~(#zC!t$wmuu|Btfo4y5Y;$UbIemsJren8dsdcBjg zo(8#Xx8|!v-n;YQ);x127yNlaph1&{x@?>BP76WEmYU~!px^dvr7>QhV~+lvb}_GX zF(y+7gUm|#*AzPBEUi}WUM3PBZW20rZS%bGMVV2<>g<>Sa-%{U>Jj-ZqVb&LWr*`i z2akIKMU+qrdIs5@y7h1FD;np%679OL@PRB82Ve-`Ji3Gg)LwqXci1g^KYZ8U zb_R#WfSfrRahc$VYH$}rL23v=X8nFQz;>iC3+f8uvN+_dh%8P<=YO7)G{WZQLW2yV zEFkKEtc?f`(fmKhgWD|uTtpxS2He#hpK?-n*ZKTy#USN{lw&~2091~52HrV|qGtVV z5GYnkfNL%b60aCQ`kz0E;{AO9;#&er2M9AjiA)a80r)Sl*k2s1-P>?#XNPx8PW9syG^|27r4c8I$SD(E2ni3pluWq<1O{QahY)H47@ z0Kf#eCVz1af5NK&x;OHB0AU`YKqm>V`M-WnGH91S{LfHa`S{8%jf!U4tb?_c4r*NaHy zNyF_1cyw^=brdB8l6qIv@aXW7#{hgY3&brkARYvd7pe~sJ$Sj2jiM9AVQv1`I(9u_ zpu7VB=sTfLXBLX)FLFOpNO~B6NVq+~VM6;*lqgwvKogH1M4eJFR|r_rZSW-?_(i}G z{U}-jkX@CR!7U1f6PNozs|3h#I#^c_+Pf=VfWr{J=?7l+nL~JFaJ?={fDzpLe=hY8 z+&CaX;MC_lf%i?dRc)tgZ^vivvZm)gefQ2IYpyC+)}`=b37NQ?1Y?&36Cf1KH+zp>yupE?O8tEBPSR(sgn=w?aO;{MNr_v zndvzmn`vi?Cw=cdQc!&%+bw>#wO8SHreTZdS-#24t&#!?X_XB)NB!;hHIjKt_hS-$ zOouzDu$9FDlPv^tN%Yqlm68@Im#ST69h(ZsDH>G1qzJd`+${*PKKPF5RuUo6rBD}r z^}{~r;*t*vn`v6!_3&EVr^()xAzYbAh$S@U2qD8H^gOclJCJM?t?c~uJeBF#t0Fv0 zan^f7XR_np4L2$?rY+xp##1;mKlC&@D9qzgRnbH2Kmg>U?(=wdj$CB$1?v>OTZVT$ zX5ZQYN2&&;kZilEyrytF+XF94I$3#oY8l3-@)H?(g$>A)v&*@qBS~aE<5c_J@+k5M z_;{RX6xbhjFnL7@qMiBvXm3I2+kEy7OZ$=#bJryn*DpusUWo4(E@v2yXzV$+`u^y? zOn+x>Vl44l0TZKe{X)m3G*6~iPvlmPm+M%)49?j1c9V0T`-F&W+0#tM4PoCHCiC{A zgC8U~C9XDQ;Sry|mg#*h%fv`U*Xg9yCAGC}f4uwQ249q>zUc2Q%2Fc$;nVR|qW>NP1p+rkj2!8``#gi`}zSamvuxr$-z8_0D8TMX{2h zCtZ@b`@Fn`P#)vsH_Wo0_G7(0%!j9}SQ74y#a2s+dmgV6nENn&s&TnUlkdAO!0XF0 zwQ;}q<^IS-JJd{h1#Z9f#^rpy)|C)nOGm=zU){1lOgb;8W)U0gp?y63^sCD^mr=Az z%b}|tHv`dFy$0%yGS`RkYV!V;o@gX&TH@nivtq`V%*1>WomA^_{VgIg1AK#Ahx2H@C4 zmiH@znkSU6X_D$xwpu_A2TP zoYsPhqLBS5=mHd8;N@}@B6O!p2osir<=_+$5T!dfARBxHg;Zsnr~sgV0^5(E*$})0 zuy`QlhG;8201A3a5&#Mj)D7T3KobWb;BayQw9)`!Bn9?BkVZh07I5OxFf~2252n2j zBx_C})hEvb$}EV}0W9Z_(Ne(fOM>gYI~t(II3R9hUI4RU2W5LHP|%eIhzg)m3aZbD zzybISyk4MkcT*O?{n;Qc2>dV%i$SgxQ7xcHz({fcL@6l;a;%`b2+kQ4z^)u;g1uS6 zEu-&2N#S-ts)aWS@bH%+#B(fL` z@S>!pk%~LO_dh_PVe6~l8YVr42zO8%(!f1NO#F|evNSk=gjCv$#7<6`@4w`f;iRj8 zk?4V9gPNq#yX7*{LToR|CtPREAGT0Md!T;4gck1hHKf&%EZ) zbZ1x1h+oH(-(!2>T7_5dNlj^<+=<7`w~84?b&xT8e9r&)Csq_ z)1?iL3Lme1J}Z4O>|17kh`Fd%ENlEWhY`pAA)=UI*{vXH-P$(|7GFQ+RZh+`ir(m& zrY7X3(QIi6-7d8{8sucpo)N%q9D9yCjGc}0biDduXW~xxRu>B6I}VX4#i3-p8qNcp z;%>n!v4W9w)ETv#Rb#^y*a1@P>6LlX%7t1mrUtco(X^-U5^chs2Nb8WdY8nw zR@Gk42L{;h&*ag{s&(qduYy)?-gZ-JuK{?cKD>Ilvw`l7h`|yeQVtGM>pLzmqg=m-=~LQiWWIiFNAySmYM5ukl;; z+~$@N3%z+6$~MW{1g5$Ia~}ibjxecd9?~!!Cp=ppfwt%4G8&hwmAIDNcCgld?)mzO zlX1^ZuV2*tthqp5OM(i2!c+9|5p%RL@xArrw?;mk(a*vbJ`l`Ek;bg4W3%KAADK~C zy;hXtoL=2jkWh1ojmw12p|3I3RQke`*w^e&N}2hqPS)jE+)|~g8K1C#3GZ=qIw=RQ zboi`Cc4?dlb`oKuzDjrT4cVdc&h<9g5KsS?Im_;C8^3Bv^BWn10M~)y?6o2S@)G%cynfB?ZXPQx6GCpwv9g7c`X-uR2^8G1K zRIvIRSkW)m)jz3hEVrC6HH&i5z&p0zWToR{d1}DX_1C6XC61bu*jp6&45vt6Etdl6 z$MK?aucrpGpNwfNL&wVpcJ=aVsqndMN ziRhXDXBC@LyYCH3=>C<(*4OP$wH%eF$ru#uZo5_Hu4?P>a0kECo-~~3>S8xEY{crgXFHPa4`!<77pzOTCR_;Ssr-NjTEAXAhP%Ei7^| zdWN3g{?II6YO2Ab3W3zcBg{{aWq%IBJWZ6ZtdKhW!R3K)d6lQX{GQ0OliEg0k|RBL zwm8pyXXzT>3~bukZ>4r5e7!SFk-@;aWB7DJf@NT{W@e>J@a*>Ejnf*woA0|9v~y|0 znw07NZBmy^DYv2V6C=ww!UkM_3Sb!lKiYzuUKSW+#I^WEzXCkE5&0;dpU&0~CLWjU zE;B)Pmze;MSE5+pEjCD*4M3QrK;;At(i;fu6aXU8pmKx=&;ye4jKq#P1tB3o*66SS z6?p)G2cfhqu-Ch|K`=F-Z-5Hr58DfyRwL$2e%G8Gd%F7xk+6l+cef$N4Id##@5H7b zD^OI(E@`N1w1^TcAafT;05&tlFcNz1d=G{LQ+RV4MJxO#3uT6ljYVrqf?OaOAW z08uF|4cA;p3^(p8z$64{W*{5YhoYqcDZ76HVI%<~j~Hk|Q2heRP;gcyZZF(dAQ=Pj zzp&^H6eofZ+8qd>T)<<76RJ?003XChi_><;`ISA3g{=A`@*bRG5hBkzv;F@fTI$7b;5c zW>h9p_VVm-+Qg6Nd#P}71BkTfA5zq2O7wK@?Eu}2=Cpa#35{H{%G({DOdVO6dwtL` zNRwpZW!e?#BNzPr&K~NaYqg;v&F<+rK6ghzjVH^;UPS6bHP2#5(9O0IMFm=gUP`~x z8|+o%7tYs*U47_jJaV$h_HtmSJdw_&8n&y+o?n7ZJj?4>9i=_3^qMbAsN7uZ{vcxX zp3F?CrKkz>kNQBBa{idxJ~Jl5qAMka`EIc~ zssdw@`I6VAw+f}7FX{w31$a2pl_f_gDt;V1b(S&R9m`&&Unka}F^ej-S+6pdm)o?p zJLO1~DMFd6nRgR@9OG%- z;Qjznaxw-l`{8n1o5r{D+sC*o_DyKkUGn5~@O^S3gTr_0gPvY%B4N=)`|41u8oO7t zCS7!BZAKW@@G@mgOWODLKwcv5$B6;%A3Wp_zfszrcoNL7(A+l5zEP@Tta|F0JSN{? zy3t?SyE=Ew3gaF4{90!6oT)?p6`zXd%K-uPbz(A8CM;XDbUvXXjg4CEC$@`i#-5m_ zjq6!uhVkchUmC2{?Hu_$xpc~-S6S{*QW)M>%-PeV&Tv`@iUt-cLy^Nub0`M-9eIIY zA7^L@IRqf`&*N+-c0|Op|A?s&OvtW81TMyV)QjSUued@IcxX^(cbG?UgPhrmW=IQs z-sM=uo`BdP_|<*j`{OuPM|(h~7?Ed1I969+tSv+ed%s30Lx2v1(5wE7#07ds3J0F- z0p35tmj#Ez(W+z{mOLO46b1OW`)?0$uQ2ujASJ1^0)-3sd^dP};3B%B60i=O*abKf zN;q^GB@RhRz?I8@S_K+T;;2;)pHRAZ$hWu%^=KW~1Ch6DU~fVJQ64Gj|Gr=x`oIXT zz5zmEwA3zt3PhovC`J&b>^z}>-sq3SJz5HpvC|=>LxWiHx91lc@W*hakNaJyy@=8q zI*r<&HbW8;#=Uy&zE(g?)ZkI|`>tRFea0Jz0e)KwLJmayn^qcFtlg&>Ksh2wbP(E^ z2;-qatsYej(SqA4p@}bytuwblO7;lMu?YVuc`HA~SZ95xXxHQ7xQK(rhp#+L5L3xC z%C*g**!a-MBg7xzbkv=lEA~W6)9S~(kYG(}nM3&biD8!$lJtV39PO?KKJcZxVN^H;(K}8*IQ*M~g?kPNRt9)ibPT znhNDUL3;KBb-PmB;5qg?DmW#!fQag&oJZ&7#Tf!$AR27!kv&Rw(Z?CO+C_``%tyAL zY}^T{%P|8gaOXIeB?hFYKW9^!p4bk{+3)Xi;pl3Gua<${N^||X4F}7HM^E-= zMi8!lrOk2+a=_|1s?zY$Mq1oE>DZF!lPW0wF4k3dVz}?~{-j5Y#|r02gU&dTiuT;K zP<}MdJl8$Emc4&p0MWJ7qvm`ay_Url^f!j;O2u@qb{MinNJrBz=Y$dtu$~_5H`k)C zBsU;CQz<>ycrvdyn<&(oXe95F%_Y0ZS1)b#F6x!+VN>Tf#3r7T?4wC)Q+g#8Am=Wf z7_fSB?)WUpy))O|er$U*Kp1DmmlzzWna~|M!?YHd!gxV0sA<&rEGAE={<6^AJB539 zE6<&29vgV(ts+bzKH@^wn>54H-OBb(mFh*x@#^_K=?A(J17a2VXub*$KlUgw@IzAz${md(m|BIe%N0a<6{rK)7nPU(d=o(H7#qrC*uo z*5{Fa=fvlunWwMF>&I_iK9e@uDU$TzVQ+?J@4Mh@ulHv8vVK~5er+$a*LO>&*KLqP@_a?j(>G5)(3f~K1-UZZm5DKabJB!< zgZt5~J9QGOm%WOK9=KoNrEbko@F`@p_AEbL+OI#a-FS0?OFw?)@nlMj5rO)(p`-e) z>z>8b25dabE^%plm_6PagfO{@^2ZpK&}vovA?=y!x>ckIezVkFqZVMuR z@zE!E?E3iww?kCDZfW%%HaWWExBJcBZ8v<5PC1>pQ^(euh*|(<@tS6-;|s{Lpf~F(j)mY z^uf`JSG+kLqDCF!Mx>&s%Up7C<9=dq6|a5`f0uC|Yok!DrWg6)qBJpykzH`T#1`dY zqYFpiloIeruA2jY9#5dC>2_?+FU~fQ*+i_z&t~ z3@74d?|k0LaH6GmxYFp|W(jCqi52XJtCk|KJ5NL)DZR5Fu3U*lHtbFah@7|ycM_Ap zhu@tLPziD0?`Rx>?{OysUUw(NRo}ooyTEcZAUN!d-<@wq^Mea5yEEUfDONPhkqaK$ z?^|~?Sf>;gx#E>Eiw;8ct;0=)Ebi>Po zIbQ>wn(lFwXqv<&VmOx!q5^y16rBD`sujIkqW?!93*qIFT__w+3vQr?{BRvX z0vV7YIJzMdBjg7p-f>CJG4LL?XapC^283L2788^KHsSJ%4J02{2335*&7n!I^z;N8qY@D5~7{a}q@6a|u^#id1I6bEDgQ%r-s zy;Sg&;d~A-Hv0)+LMd}Y#PAVL$OBdw0w1?H!3Oh5guTTBHk5HeIlmKnXkzpnpXnvKE(P5!Och2 zd_-yY7K-lG?OUAntX~UMbx7e_7uBDQGEVnqXb8lg>ck?BiOFl_#?$Ab@Fg7DMqaxt zuSN#361zNU$~o$qqF`^RBN^xBO=T4}=`wLgH6{;#+6rqUOV}xXAgkoe!odVe);TpL8VU4q$8*&8RnG2P@_(Ry z!C)6(bBUd!S%FTl?~k}{l=Og5B))&E}=@R1j-Lm_u~iDQ`y3>S2twFzt7kb z%_takQV(YDJHtRqujILMVE)?Nnfwo!yY6QD#|~ynJ2w(6eJ*2HTNKub{N5d|OB!F8 zN=lz{|+c#8D$@HF13d`cXy>Fi0YCQX#!-yo|N~LknNPW#I*Si;K{Z82% z=ieTEU3EI)xxA|tw~b1eGD{QoV)p>vo|kE{ZhKs`tmi4o#nvmtA5Ny!Ja4dKRSlXR z(KQaEU?#cCbNgW;ed6`lj5lQ6L7#7Z&9~k-@h*3;Ek*encHrwhrxwWi?U8}?wl#~A z{DH}UlTR}0IP@PS%^%+KQRmldJSe$8$xyV7U_SWsV8`ZQ!41)4kNrvKK09KoT>BFw zQ_9nFqtmm`9cJuH*?h*AOiET_o5?lv?9QawH|cGCmnJr@uL;|hqbFm`qNtOrzDqrH zGB%}j!sFkg@ml8F(h*@Qy4P7;>rt!3w!@0?dFW9?AM4wUfV zB14zdjJ~O_9C0rB;F3o72@)}{!CGjA8aKUjb2(CJGviuy(&we8@;RN}-0h0W^;=sE zQp}YjAqTQd!&0s#Sm~RK9n6t*uCwy=drK6Z(sby!y>Q#J z**r%liwq1)bdK^A`Dhi^xQ}PFQt2EsRI%W=T>UUU3lBo&hQ*-E4*4mCp$N{VoeFzs zQrdXFojC2DqmNsJLXJSW_TodC10jZ}*71Oi`RDuHLl%OBbu?v`0#aR-_q1yWE8si= zAmEL22&m|Hv_pQ2SAm8!@CXFaJMtjEx&XUU)4y3xpqhoz;y_4XAZ(Nb)Lsb$xeZ={ z7B~*UEek?VP@%%WA`B1(B1Q|~RJb6E4j{Ducp`!=xb)fw35XgG8F%6-N*L|~J0f6r z@C6wEhvF^~Z7xTAik)k2U5F!~f5nO%vN8R*)jR%Af zpr#I%!Rz?z`5?4U3>qJlwwx*hS_byb{SD03n#08NyKm!4*Q9Vc~;qAk}#t zMT^DNwg1=BcIT1=eKIkCVe?~UKhFy+%m_`xL{@zB6PBC$Fil4QkI59=&!5K{@Fbzmx=UT9JN>WlHrIavH` z#M@0^uKPk~LZWfZt^Tcb+hm_TbChix$!+0&k23t;AD|I9kwko#>H7iZ{If4um_0IP zPkaiOX+I)VT-BB8E|Xo58=JEh@QDP8(-{;P^tZo-$_8U)zvZ;DHeXH%OAu`0iEY6; zXjIA{UhN(17gLoES|y?Gt5R*fkg3hbci+h8w%wKMMcAW!_v-FA3Vfc}G&*w9vd{z) zsS35Wv;JD6cRBt>PLM07d7a{MrU&<*+Vd2DIGcU2*=ae;jrMy&c0y@9<3fRZfy^uu z5uex1$CJFO8cYJB9L8!IUqGDe z**fjyR|~FtYY@~tPQKQ}J!-BJRWOB7yY0<)GCrZlDwn1d&82mtyhDS<-aML&#UhA` zWs2_&kLHx8=PWDjUSm(m3<*1~{f?dX)(q?OvlavAF0@!DJl4HQl`-fQ-dQp4dB+~h zVWZ<5MY*3bVvqg7VDoElv*J$Ny(Dh)YDBWc&_>B~+*B>X<*R0HWO+gjtJ`IUNXJ4x zqddps!w1Pv^xq`#4~gZ8c8|X*>k^4d@3@dt&bNpcOwx-7p2;)^inWLP@ng*nL_V`U6K-HinWi6@kYr-Qve#$xo=Kg? z(4-z(*4W+?>OI;J;J|+AyKD$K<@>Vu=+@l(>FRGcr@y=zR6Y|cA7}cuM*G>f8UE&h zRFieHlVcg1&ns_+dvKAH2;Z!W_W#b*o*#*NB_`a^RZ(LXLz?~$gke#?e|O2vyyuxVu#u5=>~75PwAm# z^)OYl$0aKlo(J3v7|MnT_Yl;z)q1>n@=jygKCAuRmlcY=A%c4|LhMFZBBP(>ubty+ z@|GZ*J6?8!!i7NQ_{*ABt7a!p=SfGGGeg*s%5$2cbe^B;eNN#y+kTm^RE!BHb!N>A z$?NQOe|h~Nb;I28R7XM7)ZE0ALrE%3>^#@rQ?XY?#VKX42vyFz6RaG_51dS^b$>$_ zqV1Y0@NDZY$poX;o9$Xg?5L?cv+2W;HG!KFgKyIm11ZJs`IPgF_;Ei{#WwiXDkY+i zW6y%N^W}||1WBF3AMfT`H&7l8AA6oe5yKVi%;b^6IG)LM`ddv=RkR32CQW5@reZpu zc4_>4>n8CGVct&d4c@=64=>(UpPE@n`?SCooo!k; zc2axHrY)!Q(nMx%0vUXFMdtJj-h?106I@{kl2x*zQs;190&*_&*NWRja^ML^lrru{TD4VAh1-A zbeuyZl|j5t1(Qn>a>4ZS5YQw8LCri+v$U3n$bSfvp)hHWpU^k-$U{z`tqQ2>fv6pL zCAc1&AY=Xv$&(Ag)wa-H2xNhgWch#Tse+N%sbBsRuVaAnGiddQpj^oz;Rbu4ri|Jt z3n1nXG`Rd>)_}ft>yJ)y@Mj(XJH@sAL?eA-(tK>?fqW9;Q=tJ~7>y{2?D|w_q}ww< z*-irB6c8TJVc#u%N=qY9C4fHKt$j)Zs4s{_m-TVAPae329n$_$`9y?b5V#ReF$G*= zNdUhGS$Uj>4hO_bgPhZ^#u{m?12~?vXq>j*Z(HCL4G7da0hkAr2SEf%gOjZL_Yf>X zhXh{0zwGnRjyXSq-(9-wZ$(f5Fh-93=gycvE2!wd?2G`wW#!fX0Wtr)0f2Y;=Xvh< z1;0^Gf%3r*zXJaFdPfxj90x}j3j#hau`HFPD z>vMqneR_+HL?uZ~?4^>7(>6Cet)yV4D`G>#i!%c z?j}~)qa;OMIg;YLmoDeTDbA^TG=5U*-R7JAn@g7)WmM@~JB~}ucXtDtTNQGI|AcNiS<+~REz;!ZQriUl=zOg<2k=o%GuQCs>ewkQ}jqpLQ z+f-Q}HcFASV6i%)Ot`(*T(0vKiFHQjC&SBq0eLz@z6oDBY|6T{FC8%R@|cmUsO?C$>G`ET@ zd>_56bJIyMaB`g%u{5yyXyc>7f4Gy!V{(WZQM- z8?G%gqe^zy1DfX|&pm0132Y4IqK(Xda4pRPU(b-*Z&4|4HnMzqpWHBQO`5T8pG)@m zp^C7_`M2D8MsM-F3yV@1ziuOyOmf;iMd5jO3cJ;yu~tY@(t+x8->p}k85Mb)sl`8j z$bE~4Vs2Cnd+E?Aj?Q}?cdge44+#Z)`gDAq?$%=^YEkjtsA(hbORY||jyE4|z5a4{ z@G$x*Z(<}JX%GIl>fW&}mhhs+;}!IY4lBmZ`*p0ttWx|MEsd|P5;Q)StSmTBQQ4eL zMXE`5yEJ^TptT`t4-{8-*Z-nR7yS0EI5U^(C*2sV_$Mvw(xmfKmj?a;QOV^wnGXgE z3^O*Jp+^>iKBw2|RTVwpA4-*oiDqy!diCy`-^erP%&AO^kl{3{oW%=Y7D>*n$oMrT zr{5JcDe^5;n&>SJqJG8jY~M@zDTS&ty&~_a3>pua#K6&U%ilBJ%CNT3pDJn(wLW*} z6sNzMo=#~1JqvcGYrW+43C-8x6VI7(E{@xO|WV&H-> zB)z=rf7tWmXz5@sH8t-bE-NJLltRRsBqVG|_wPD7pxTXVMvjq^Z2(1ZcNAPE382A9 z?H&(&2j5hL7U5=6Ac~q|3yHul_Tv4g&Z-z(nftfJNdX))XgDo}X#m||O;Pl?)*p!3 zkdph^@&N?2aj4y1w&3s*NSy#CCI#FV5M+a9rw(t0=`epb+A%)d=14Ul3$xE8lQF-eG8>~C{|L&|~c_@|Y`NM@p- z1OIRPh=<_m z;Jha7z94~{ZtmisC%AJ@yB?bs%{qft0&I(JxGxF#C-+>s{hg4LUop8Qh79; zC(30dM~n#0lkb}se&ykFU{jqhE&X&n>)YYg1=r5B+L@9NhJ5lOPU;uDM_!N|GQ{$R zCom2U%kt8OevOk5_R!*R?d-nv^^14~`i`iMlbNk{KYvv17 zl66&5(AXz*ZByP(=nakB_sVVaMqkCdSDjt=Pj7Ft8ha#blpJpekY;-z6V}w5RExc) z-7_ep;W)@V`iNOWn}S9rd71Tiht;*cxk8<3_^dJOZ#lh(N%G2$;mNqH1{+4STs!ju zU*O0C^z;71wlc|{+C75CA`jjz-BxJ*aQNxd4pQp=GaYz`tElw%nNWoXDRL%QS`!~J z3zi&+e|NXDL>823M4yavH7{4!8r-<9fz>gPH0>U$5x?jpxnjT`R@v1+6R*J*Y`hA^-^^lstwtAX%jgc-|jbs z6}WUTuzHaDZ29B&TFM72Z<3EBNycL+@?V!+;Blg-mH|)R^VJ2kIYZq+%T?nq0-oZdT@bQMoIo1nhNB!zV_?1e8rl20R_p z6Ei2fUT||jE4{g^vZ9KBl>0l6=l9i&x>x=Us8xT*cWE^Yp(T}_ez`UE`%OmLO`d!j zPTv!qU})Olz9->$Mlf#`tHs&n6=j95EbB6tUBkW-IM>EWrgh1M=n+co!@p+Fy$XMu zb@bv$O}rg;N>Nzg>OR*m7fJW(qtxDg>KP4v*7h`ia*e8l27f-Q-B`Cp?1MwOyDCpA)H~6 zm-}g8Wh5GWDIi@!xLyoA@Ntl^H=5AiG+Rwv)6?C6Js%0!pM^sE!K5-U*;$AS*Lxg% zLc^-75PieNvydeU!T>E%(B~Z$#q&=Se!#~B@g^}-=_5X4KE{=X= zYyg@^LUfov+1MDcg&NSV@c@c;H=z3?+D1ko6<$zskd>2x3;e+qWZDnm8gzqg|FVQK zKtV_b)Jkvz@BCi|B6R~vIgo+@6j`Ak5WXK~`Y#hp0@f`cY5PWlEDJ?8M8*2Iqay?( zq^%b2=<6B~IWEio|2BrSa)82*`(HqMF#$v&Q2N2urnL>hT_!IEz{OM)N(ftLYIAWG zVB-d^->BaYqNFuNz3KXrzDMP}b=ptQW?69O1aZ;e6Qhrndw71mpr$UtZpHHP9)tECEN=jv_oFQKTU68w^CN6(w^tIzuRq@(x4LM!ra`qdtHI`b;Ys4^ zmy9dq-3PfNgDVH8yw84U>=alz4Wp-;zgxUBT`7aZv9#F6>vq-| zVSe%rPWQJB;_GSBefu2G7hf@dGnP3!+$rg7d55|ADCTMP$%B&zJeX9|#Ez9#P_*le zAKeJVT2j{+bl6r}LhIHLkEtAwE_0blO6IqEIqN?6W7?!-{!wa#%l>#D`?A<759=4C zKipoM8U0pioj%M>BFMYt>xvb)Qg)`4zu6A`PPtLGzJ-X^pf&GM_QH^g2G-m5{^Njg z=@h=;pggQK-Lvt8nGdDMzTE1>?@W1I60;NYYiLos4buJNe*JXy6A_Mr*gP!Nf&J~ zhl(>)pkn*dRwZ%6{!{Bx`muUr|6Bo+;Jkq+UE@x!kA+)q8s{8;p5054Zxp$r;EXrH za|g9Zc-5Uqii?~zZ|y^VLA1`f%sWgu>J9gsm9S$aLrs5N6 z!Zu2U#qZz7-A{YTZfdE zQd+o4r&vrM2)4csk>Ii}R24LzU;SQfb+-LIoq1I8LcqR_QFOF_<@taG0g4OwWv!3P zc;C-QEu47ltfj6fS%+bFO~*2FF?;9?$M~IJqj-?!rbs!I*qFqv>ZmhK{$}!n)FHw% ziW%PX19P<0T8Si1^P>9-w=V*CU|TJjq;-JMLc?Utgklo_trcP;c#|WlrEg=RpPjRC zlD(#k7t%2@kXF@<-aKt>X|YktWW3490Z;4vZaWE(hboA)E`w(fE?~py&;1^-?LgRo zOa~5u_A}P{6T$|E$pHf?1(>7{AwAeA4lz4u2{Kbutq^D9ta)(ceayU?KmV-StAU?)_A3+k7sWC{jM+Y})Ks0oJ86g3yrsFZdxpKe@L8NFc zby52WaOK}L-!+C^v4>@RJSIE|5T&Um;fccU5KGk30j|-RkB}&~*?h+mEtr{4z+7S+ z8|xz1%C(mIq!cUO`!#Z{I0Qx;5mS+Lkn`n#`Vf*YCyj!H9P0J6?zJqet&gqBCG-*V zHsssIY6V;5ptA*YfHY) z&O&m6!m`r@VNBhMn~Pqi@U5@81dync`rY4l(bF8&=ucXG7oG*?93VuO8Yh zE1_t1`j$vm!>O~%-`}kF72b1?4R&C;p4ypBte%l|{y|O&GLbQw%ea( zWfTfZYJ=Gq_Z(8;+CTIJOq3~C6hW6b5h?QgDu4CW?&N+)_c#lYQsLKp+70`jKHXby zt7~GuudH3pT0N~WU%JO?ZYgMH?~T^RD@{HrhsEdu(W{5Bb@s%(`;Sc?mL%QV3h&7wKo;d~(wAtnJQsPA$m)87aOIGdveoU{iWfJ_ZWdW8s;d*Jhn zOCJ|=%yW~kyEn`z?s~aM#%f>V!P?EKUim#;`6F`&ou4dyTy5Qa^)-m4)Pf`Wi?uR$ zO0m!P!aA!MY|tF~+vI^Ze#`VDt}frT94t9r$-WZEhdawGr0QE<-M5^j@7%0BKxIz5 z$9vCt_e{n0`+F%u=MFtDdeUc?F*kXxqLJU{RBeX`XK$cu=!EOLxQ?@eBC+{ z46lUW=Q!Rc5O{3fe=MV=;cTo|p^zS@!I+_fS{Y{XdeMFCY>!!~dBeVpdU4+`2XzGE zuPTra`3*1BoH7r8d}7lnm~s7Kmf)Fd`Mq?`WBJWNvkiyK^6$KeGOTyLPF__JZfHrX zYhQg&dj4sRazZx+MMCgQ$=V~H57%n;-I!lvxg1Xif?M*FF0sO0f?8B-=G|Fm61CYf z8I`){v5oiYx~fFf72EEM`?9^I@+NCEi}e`%80fHlUz_bxo#&@OK~D05tQMU}#x5V4 zr$HRTD=aOnqGHE$JPoY+EWeqk_Ts&d-5hTp>Ag<+c0Q06>pAQ1)^zewQ<1wu?q~tc zt-^@A2D%IPuVPe2aw}BKE{Nane+UW2SAQTCe--;E{?z!z&yEpQ1LjJJFqMJyCFNPJ?rmE=jK1HN zz^eIJ_Gcx<#(ZqN@PLRz#uY;8R~O#s%eDz}efxCy%iIeuiMx%hUgRsMbp`oKuBwpG zzk9ms5IEKPo#WjF^|IwB{NJ3qj>w4Llb??6{qQs=a`4Hgc10qSpjeHj4whAdS0fy2 z4wmo7Tkp0hE$Dff)~j#U=3CUAF{~L_wp($ao&8~tDSpYHf-(;xhl|-!v-lM~h5`Mu z0eXz@Ph-5JYw>F|2_VckLk#Q>&^;%`lYlTF|MnFGJHgkWy?7WT>H~0V@CL+5NGql{ z*Fft&_^L5@C?ok&WVmEI(8G97fwzo@0SXxZmEZ)Fr*Ibe(J>HN=idedCkzOmK$=uI zO{I>w;XpNn0VWxTyh6MWX^DRlrWm-5Ci;|{SefcgbW6bXn=Tt`y&tgNeBl-&H*@qr)w~;f;_`i!-ImUdhEa30 z@j?9I&r+vfu{}!W0~o6_jLl+&6_NwV-FJ-G=oeoohgoo9L#U7Ak^0$i*#}$xOuPbzrU@vtAVYo`*Caf3TS| zPFm0KPJ&kp^|AIbB6W!Y*}H+#u!e?&K;=zB-NII$Q?dDP_T3P<5Lfto)!zzzmOk0k z#dDn0{{80mov!Aa%C-K)Z_zV+ww{}ujPJeoZoXLgLZ(W=ZP+c@%WQ<-Vl@J0iKbMu2Ol`-DKP0%R$g{i(TWgCxfJ1O=sj@0(Wa6{cy2>t zF3b>Qg+#b3B@0^1F{STvR3=pPr#MzeW2^%yy_!p0haSjvS{mPz#V_YP(MPYo=oWh7 zQc=p~CmP{W6Spqo84;PiiP(RcMnCzXyyyZp;;HX+rcJYZgZ>f!LQ)gCA?DlXyi1!S zt|#;q)x8$TFzWh%-9D}G#>J*pjOL+6lT|MDJbc+r)%z9dyK6&t+zA?%K;yBhAzvP+ zCdt6E(&oLXbfcVQA6z|$vy4BR9Tzs(o0VOYm2EFadq%_Iaq@=6(G-%rlL~znj4PiK zVQka&iKJRL##5wwB2J$H_Nm5bqO;ZA0^(>@7J#x>e&)X`FcZi`|Jhb=o$0d8W#v>Vj`L^ zWKJzCR6jO1K4ZZ=+LqzgxFkYVgU7$B7PkDd-k54D? zpR=cbhLv>BFQj*f8qdCXFGyT$9Q!HXt>0zjj`-s}1FQGm^G>9`-P+d9f}cVw_)M0cw-D`01M29A$+kJ5`}V6`i^a(A+6t8x$P~?Jh zND)dlf@jGe9xo z@Ju+{AtmuMoRj}yJKO_+?Py_#2zL^rnU;_iEY}Mufwm|9y-)!Ji1@(Z(KU$nL1F-^ z1Y$4*sw4+X@&n@tPC*=Idj#D^8ZROX|1Z4<`|6#L>=dZ}~ zyqA}{$BKN;zh@Je-1vw`qi){~jhP=j`+4;K$EEu| z9?vPBpFj5jkMufmAvT0OPPRZA^sUIaVa8YapiSq;9+M5Gxn9 zu@@G^s%^(BBiHWJ-;2YDmpnrEJh^m!lgoUi=A3b>8k64V+t{*GN3OnqgYQm~T9t?w zkn7a)VBjWC8>*J*b~B01MYrj1T6}RY&Y3DF`kM{cP**crYNXd0*mFvc7DZ9H9xFEV zawLdsk?t#Wq%Zp>qfBb2O``NkuB(NPLS0Af)rJ{XuRGk(^rL;3 zTPF3+A8yHQdajyR`tH(QysYzJsWNcR^9#0_B|`Hr1;`SIvILtET7MshVe(4 z8P#NrWQE_(u!!kdET?h56YE~OnV6P#ugR_W#Rh@c=nrSLMek9ZWz3uMrbv;#Xa$qt>{9cMjfWe#l7f8M>XEAMYg*zi@W>1^ z*G!e_x^*PNjm$@}&SIL^Yj~8sl<1f`Hn+|kPV>-lL`4^tj5+DWSZdxBJsfh)GbKe$ z;pCM=+Er%DC-POd_7FYf3=U`;;G23iBvZo{>Lc%4(~q)Q@O76{&pT^#GCzt;F!k(a zpblraL6{FS!TydLbbJRHER{~vX<*7Pm2f%lv5@|Vzj3DgofFpXkSo6ISNw15Zc74o zhbmq^XEnIg-HToggIcfp(I`6k8NKnSO>)~qrPJGc)sOnfVSWxDCY^h=7AWfAB(2gp z!DUE%W%yZFN#>KgT>)v=%EtAi>YiGn6N}zRUCKXEa8c~DM@PQ*I<*CPlnYEO`h~o|>K$XsWbA4F(4wyC-SC_gV@YC$b<@pdje4{=*~Xq(x09Wd zx8U;om5l7of_6`HIp5v;ezfda;v3V_&3G95WX{|zrF8BAfWDU=56aNZu`*5$np0HMbVk7k%d=y zU0EXj_DCmes7d_pwDl3TlkX*@zkU1QEzvGW=AR0j9FAE0<5B*@5=r4Kk!*wb zE1)<*j^iBTED<1K*d;={jePOI8R0N1Z^Cv0Dmffx1wQ;9SPMzm{5^osxJK@zE_bfn z@8luShC&?FUP0oKDGRs9%u^ICAyD^~lLQwg3s_rEEQDhLfeVG(2HIIl0P~D&qyD3U zh8#PT7GDk^RS{ikTF?s&N&NmeF<^$k5@6*V6g@FWc;bSl|3lfA2SWLMaWjuyvTq@j z5~7(gGiH=Eku6ILl8{oVR3arBl=cnfp=4>bC6b6j8%3l@gp`sNTN^Ew_uOZO^!@gI z-{0FmN$1|@u4nn2bI-xugGrOntD(OQ4nz%DT0CNi0wn z)Rp1=4b3HBSoqT16tEIY@>^j_boMH^eIFQ_(>TFc8OKfhi3+-7}QT%E-W z|KYH`QXRF7Rr8#SG^?oe-c4^MS5H@eCnx!J>*wacfX(;lROaFXXWxrG;Zr()Y{9~r z>6Uf;Kc$4%x9^rRKjXk=->qVLKeuqn3|j6PRtS#P(q2RHoW6~2{QudI>L znQ%2&lWnKjrYYkuWsw}H(U5rNhwtYOB{R|QC@JklfuXb|{>P4a*}3|x3pDz%&OEV~ zPk-&KZmT?L>BjwM8ikhb_^jnSrLrf_e)-!0%K680JKTJz@6_*a`99~hdY#O=FK=Fn zHmAhT*iYMgNpee#K$aX^;&6RJn)wt(8+8r4#p;jah4;|TS#7~v7Ju?9)^U)wSbHEr zFRvSX6&$~C3z@kL}JL)vD}H`>Ah8=bq|hJ>6AHdbD+BZ2nl77Mk_UtoV&z z^{%R_C#~V3MR~6p;_#yK7F{eqZ5cznt?R&b-MN={`R^F2PFhg@YMSqSQ_PZ?m&+b9 zzO^=^Fm1^;{Ha6rmY(u^zr-xQ28gEmi*Eg5@nrtAq$TU7um6~~uhAeW-n-|dgHU(Y zkq?;`H^14NYijs%9Nj=Ur67&dZ{}v*e%z!bGT08(m@^V z8w;bfc;D4s2p+N#y6j?IYQLoMT!x#^>;$&Uw==tRZ!l_^r*SK>Cg0*ldS_D!CYrRB@ftD>Yj$ILM+c{=iA}4U&VISOOzh5;%*oVk z>~BH8Bn@gTJr-W4#s_?pk|qi23e|p@zB{!jwJ1cbg`sYmwrAr&TDG}M=#93K&F0}4 z>2@t!^Wxx)!wVHQTT+;ndwd+t5BqdYv*eq2{neuc$vu7oKfcUs-osbX20P9!>FU$E z^{T!(ccDz#?l~LJC|z`7C@&!S9r2w-nZAk5+iIbm6j5hdt+t?KO>_LqO_Qc8l|R6T z&ih18%`WJ@cy-hL__we3DXqFASs}A~Vc_~G?>aH%ueP5RecqeiQ}a)HQ)062)`nw# z@eGHjx3=c5vy6X!cxu^mvkxDiYGGbxnbFm*Y$c;kdn|ay(7Z2in|v1stf6m9E-f$^ znd%tNXiC|3c2Yz^_0z0^Yfm3kz77AO>8lZ7^{}IXVKrj(;yFdH@}Q4Ao7B_mQSn>s z0lS1p?l+P_spq~B`5iXHq~Z}Sn6BJEg&a3*rZ)xnCd6hQ#ZVr3La=cnW^70hoC47$ zTX;#3qfC7g7LG9?N*!`HnBu)+m?NZZ;3Kl+I_hJ5xTzAh5@-DcTN6Stcolf%|0i>c z8(%X{$PvjaMrFaMkb`g>6iIXK7sxm{CXv^IBjm;cX#fy29epFh%S4G3@Y-$?OPYux z8to1V5Pe9agsO0*ogqn);2#KQ)J9o!|CB)7uXbCXv+RkAV{OittgeD*xR-6@OenBF8#ri2WCQ zh$AN*S%&P?lgKzpHC=09a>XojPxwGDKcq_w3(baCfWosr6f(7Ee}| zKVRmRDY9E^h4k=FcY~>3g~Ip%e>zRSGteQq=Y2$qphc~H=h3t+LDh6>qxL-~n&nBa zvocQ_R#?*ySiaNq(!O!jSlx`z_u=i^?H_-YmRP8kSPX?`eNq1~CwRsLNsDJ}1*vrl z9&dVny;yS%pHH&Ht(Hk&^Ws_a2-|Ex_(Sk;&#?<054UxpOiH$*{;e%h9vAG7&8%GA z?;5619=rb(-Yc#??be);{mGN799|!b^e_B;h6&OD)?`y&Bi_hb`HNP+2VWU{_ zkg7qyV|TLUY3IaimP$(=uDKyMm;J5i!=0xUS_{(*ut(2Iq)jV5zf@FL9q_k*x?$6a zS6|l0uIzi$p(nhad}m6Rv-$i@zkVg}+9|iXYMzXUbXL-`M~83i@QsYB67VbyoBTDd z$*)gWHA>|SRpG>)w*4C3F7stAR87SFUkB1Q+fQh`lDSU%7{5=M4tbCDLD>`eUL|bl z+f{A>b2rDAef6tZc-*e-L@Z;F)Oq6Rx2#n$zKLQ~@kx8bUY|)~K0G_DaB+v4qNM%& zj0NG!w|chK&M36$dcN3NN28%p!Y1cLR#G5-E>S81Su(@11G}p z6OmE#kI$-WTEj}eDo&Z{DYCFC&{gasyih%4n^9yEBl5cK*aNksnI0Ry#*qq?r+GnS z_LBI|^p#Wgb$+T}b!_8tfrDbfp+RQhGu5;v9_PQH)!^$Pw_JY8Wi=^bb&881#f!0M z&RU&)Q<@p=>F{1Og3h;ae)WpEF<)EPCv?lr6A|&gV03xSfy34_Q$+kFI@4dLo{*^u z^<&R|E=F-qPfa;x|J*uK#1EcS~uic)}dvK}hvJaKor}38_4u#>` z4Zb5=pJEa+%Zlbl$a^o6dL!fReWZ}|!1Ae+aKQd)GU+yvf^VM1)ajH?e=kwl#rq@w z?6<1R*6t5_jdCvfU(qT}hMd!-F%P?4>YBE8YqNRPzIyL_?N=mp)>-=Ly-1(^yy~os z>E+~An)}kHop-SltND4T_3ZhrSvz&89O= zPM`0m>TV8cx(Fz_pMPhf=U!76RdDfzh^PhTk9qi!C7=4Oam_50@mRN6`u&nGg)7e%cy0CdI72e)>y4W;%hwPe7-D3Pvcb-3ayVx{or)(iqIcR7#acRs}>KaM?rP|rB zV`^36-%FqBj>{_fl5V}D@TtGm2cx8u=Qg&kN$xK1Q8i^tk+!;>3X`-*pTj>t?xv;t zIgKS>cTX;!cGe-tzOpFs$Kq#4>NAU`uRULs5Xui6^CLW$!v(U+FUQ05Qzl;jX*>EY7^jyV5q6`LX-bJ z1t60XJA)ro^GKsWO<0TqwDvX4q#0GuuS1O0;J|549ywnCCUGboH6Fj3NDYCtAgZfC4IqeO4N4%XqOc+O^}l9F zfi$x4&<1Spea}b}(Q}Z$Qo)z$P$32l8-JKjnuH&YfimR?x1Gpw8qDH)74fmBh=eID z%>V^~aPy+@Qh2n%r0FAdL7queS{R)$_XM!uNsAWbVRWhTZ?=?a{h}lAeh=U6j|s1C zxbpEn&k#{AJ6LXBoP$NEuiYPRp)J#0&u*Lj#xZrJVRVPz)K8l|J0G6b$B)kxnx=ZA zZS{+Lv?q5>vldzS23ss7+eoTZs3lC#JJj->)ULMjxHG$XWl&O9XHZ#svTRUFn)q6Y zfDMCdb1RG4nGQcZ%F>dbZBKZ6tFy^!iUpsuReYY<{rs>GL#!_)Arn-cZ-#=ryP&n%N=n7-vlnY9AxVsRizCH0Q%)q_ zefMB-?*lWX7u$!OkH7z5Ts7OaTp;fLF3aW0>AjXK*49qx5tHz8p5?`ovR*?@{I;jp zYKpoQ#iH^h|1Q@`eHoWUuk$J7DL<_@NN&CV!zT0KXS*9wBC~s|v%lp~ynbA0rPgmx zb2@r%`J=}=9!%@y3F)DHFG?5@84DzG$m1NUSpQ z43M@-AKV*mTdGYRs9EARYuWYWr8-qT0&bR@wJUv>(?0&VAfljWIU}u2Ss?#y!6N6Y z-AjBIvZ@MN!w$@7$qY=W&%S;yB`86vtTcE)_x$7e11aGrPgjQ&Z+aEH-DUg!pq!zf z=5O~^oad>2bM!%O?vXbg{yW*M;*iQH|LfZXE=CJQl=4m2j316bmUcBp-a7DT)yma`RsLkx9?iLd|Poj6UwU3 zt|&?(InK@ck1HFcz>eSHcohZmN$n9?7d;_JMSrIa}R2ZMd-;NiuO zM%Ma=SayhKT;-+b%=kbWmfQ5I&7dm7*z&ehQB>DLEl+5XzC_eG5jf6mh6U#3G*mUsM-pV>Qi`CEES zVo-i)uBW~Kv6G9ouKzi(+1ub?GQM}(u;7{`N%2KI0TbhyJfsPl8KvL1ivEKnRML27Zb-jW@582 zCODepb&+(2uu(!fgP)MVQWJEslYAs5#43W_iHB%l+%hPCI3^oNOy>V?YjTn@jhAs_ zkt-+;WK_n1xlFkBcF{0DUNI(-_X%-9eF*CC?Pws@!6S_nKf(QiKUf3qS->AS{Inc&R=Mg|*_TDG;jZWr9g*lD&QD zQ1isVn?m-1aU>89x?`nab+JFsYD35`~PS$Mq;& zhEuUc%@mU&8?2(yX)JwTC@Jj=xhcJUA$}EtejyK@K4T@#_upf^WxyG$tUjv12Jkfn z0%}oNVtufYDY1PH3V4b!CJBKstH>)1sFW3ugaJmU@6BQwtXe^((a2PWFKyModgfM+ z=`q0s<@7-qBGVcbSA>!$5G4aS+rgM^;4XuPk2l?iylTY?GL=FhuOKt@p=`6h_e#jb zywZp4LpDIe#vfIa6j6dLrU4PQtA$IOW0L5wIX;9eT8`uXF_ZbvEDg}+Um_afgkU8N za9cBM%A~&$(4gud43mNiv{cT=Bxe1MNFQ=TAW#0kG!Li}2zj0t;S+0k1wm0H%z7r= zHb}w0SQ`-Rh>LvEd}^q49}yJP>H-k9rNqsTE)ox-_$oi`WXsGG&!2jSxBbise`~dz zcAF_`PKP@jPn<2Y)otd+W{g-z>5A8m0|7k2`!Q&%mz{q=6`of{D)j@OThOhpHA<9ndWm6=( zDH>}Qoc-bZ^^^YofMq-2@nnO=tiv7CrDAr;C|7)3L;bnIBGQvD{_EV4cQ(WD&b`fpse{uE;wTT{xVYZ*=B? z(2OaDbw94PM9qD>|A>p*Q~%T{R|=!FN~7FU{7O5d)kDh!#rQ7ms`f2Vo^vY1DYBZS zz0b$+RKAX&R~nD~_THoC*s|frH;XLwQBW{fjY<{06ny?@*@~?OLz}b*w+GF*K%U0_ zK-%74A52f$C33!Up8}5n^UT}lR}QkAt;?vB=YO6XGdSUab}F^z;0LPZglsx4~#2L?J7`v*wN&0 z*zZG=sqg(QAz==FYaE@2Of1&*JCl|^-=oa-n_FFeax zsDH>NRT##s$SrVAV&dA1zEiyl~lva<6d5@A?j0&k0hX$XQ%#yZguN+w^p1IX8dXtoa3V%tL ze(UP%kBXiit&o5JX1~$0XSbZnBfL{PcSo)$*~Q+W96{l`oM*sPVA!@^BX15WxW)M7 zdr-`y?MV=0?cUS%&%_Gn}!qFm40{uX(-xD zQ;Bw?>F2V+&q41$`ga}q<-h2?!=q(gSMl1{BpIkxMOeZAF8l$(9;oogKM`FP5vt;h zf9ip7&6=y66upGFmBRP9V!C)O3K}hU#UxNj42l`WjR#0_1xz<=FA2~8PP&7?G~so} zUo6Ao@l`)biZXwD{)or?BzYAGEXN#pah*Yu2&PXZ7cd7&ZUXp3K5PO$JPqP?KrkBO zvwOkyIuR2fUNREnn2WKXwndyTmJB~|y{2}nu^L|D_eAs#i%2pZW&Nvq0JI)w_l?>$6vBO(TSQF|1+ zBC_G*7rv1u65?aD%dXpdjw|ClTT(lmj#geI%;w?T3L8x2bP9}hqO zwsv$DRI;JbV%O-L`^mljTXBpP!_l5!=#~V ziwwl~{w>cWlZDEzks(WzJ_jd)VlBi=8XBiqiw!SHMG9UXF^Rm50Bl%q0KWrdt2)U$ z6Jt?1wGS`~#BXy#sUG+@&j-1#BlIwe@66WTDvJJ*NWMPr73y2S;-33Nig%sxJpI?D z$$nR?_sdLMcx9KGrhn_=ZyBCJH>dZ%o!oDHWH|9i_H?`LlN7ZQ48r&2|Xe-v7FDRYvUKD?d^`4qBHtm(?m7;A5T$Ocz($GXZ#{|uxHHPa4(YwF^l;w zD|D29ujgyKkZh%T;cV|z(u-WHtuOeT4{duK5I7*VgA)3y%lq!3{+-9C;*;ek2-mzE zeq5|FWOREXujQgf_Z{h<-c6npqc+nzs&o3GE8#-yo4Ql|?5=-&y1U0+O-k$14GKl* znd0?}i>|&63HDJxH)568!uGLq+5c+|#dnKfzb)(G+tsmVhEd7cpZ6}{yD42iyxYyU z?uGn--p*UawGObn%Ji+MaVZNY5taFAH+k_g{Z! z@lAXG19`Cv2M4=kW}Uk@@AgEjV#2<&uWlbZmlZ(SzI5L9qUASPya&Tcm+RQuW{mi* zElJ$Kb4mO%v;RxB)9k^?q7!^noErS!6n|imWO^1}6{>3Sv={h$fnxcNiAx^jQN|NyqI$7o$1{N zM?PwZn|a*zI_bCVxusiCuF|{op53V?)_m%P8;lAn&x`2Us@Ym!=XaSUXRV;=rnZTF z@zv=GErx3QOqbdS_F|Po7ejMv_H=!y-Bzf(e$BjPg+d#r7mQ2@HrYCT#?0hE`e*vf zCBgi=zc>4b>=AVK(wKE%uGZ>Th7V)6oc`jc9W_1JTWXp5Q2vWXzB#Mkhejt$^B=d+ zCHWiM@4E0~-n8f{E2YzC2VVq;?e7b3sgEv>W}l@O+a?@040vwv@!i^ltj~SnpEgv8 zy?$SUU)Z;mly$Y}f#3e8EYg~I&6OS>p5~l>X);Z)^&w@|eDCe)4Wa38vNk_8pZ`lz zu0q|lVMuRi{EU!K~k&@o+|0&8o$(k0>lqSSs=4QKtAc z8Pi|gOQqOr-VbF-c^NLb;eF(^z3q9|OQxA`ybj)~u3c&o89T#qC;JphMa=ngj(z?L zN1=s}W1@{pgr6T~k@$}s%<1u;u;$TZ{Y@(!SI$aW5Zjx@BVnngYbPGzHut4XH{+h$ zfvXEX9PQleb$Fj*jN0{Q6`68+^Z~Qv`*cTA_4T@Sd#7tziT$_}u>Eaw zi3mC6&|Vjw2x&gaoAnYEpM!V}Qnt#b zu<}v374R=4;Km~iEGj(MkHB=@I28)UML09LX$(S?a2SX<|~nV5QZZp3yF6bppFnvG$DW% z1RNPffCtFq5@P|9`?2@Mb3x>J%V5T?UF+K!I7X)46a3)S%Gg03k3{ z3G)94R*Y$0v0g^@92^8n5<##RByZ$`7EnC{p6PH3*F|DdQ~w9l0vD9WR0#+~4oXhx z!4u^%qyk_9J$-H}NZ1mM|7EZ7EDcN%_c#Xu+-U0ZP+AVMOu+f!LKIL+0IItGUe>w) zpIgQntIWiGt;9kRhA4y~OAI6QQ20e`w5c?{F`idWhcy-g_?w)#I)8*R7_h)wz)4u) zxC@g|gf9L?LMgYXzoSfd><=~ZKiv;Hq123r9RJvVLDxO2%O zTo9wq2GAJ)_Ax4prib(DgFeUtrRfjf&0on842N7j+AJcP z)4z5Jg?*tI;=dpo7LgR>&kx{_L07f{9`YARhxN~}l)rt9Lgez~;6ZrAOl%VSKh|YD z;dsoI_0Oo5zkUU{9SgYbKT#(Z5gzo%l-U11BTy>%KUyRE;E&dSX-olp^e?H=SpSTL z;f|ZFHvSAlGWGvx{g23-zs(xeiu{X{k3|@~|21qeZu)gjW4zxSQ>FaJmH^_(gy)X` zT2v5#0Oc9s{&O+Nob~S)f9RQCf*F9_=n(}j6^pE0@W;U$Qdt(Ke9s^96wJxlyt;xc zjy%Py%&^J0*+O`@p?DlDQfNt7r0@e3cR!A4Vq{23hm!a}5(fe*yczGY@r8IFb}avC%;&+DobK0@86vTxnHeUoW?w6{Mqb@);hV0`$9F%!m2BJ9a;k5j zxp)qr(0b?YuMvj~UzX@-Ywta-Y%CcfFEh_<(NjC}wrzux`mbu2eYJ7C{Y~3XcuSg$ zf3oM>%b%vaoOgeySmr|#D|v?{^T5)ZgYi!Yw58h`9Mdx3!5H2F&> z%@PMQ6*S}SiU~EabI$%)_=x7#?kk_?BDcrp_Jq~$Nz={B??#~mcYs5A@e3;m=AiZZ&SNK-* z#OqxmwU6J&yM1CceiDLmQRNSl*&p2&K0Idmt@&JgLO#y7h9#{u`{B%xg82Ar_={aT zDYG{$objnOZ(y^U&HQ7sviF@Gj^*#1du<-CO5agEdsUML#>qQ&fh!(235%Rz%(35R zm20+cl1|0XwLh;_->ePb1PxH=9^R%|TmE@qA8IT&Bs0$hw}%_%dVegcWcX)9XmQyJZ8J4%U;;I{HjfM zwoRGwlGpd9)9QY`Ur~JMRP3X>CIaqvy1&@6>8JgtrpFvSb27PfvF)If#^nXamR>XO zZ+F>{mm27qVUj!R>(kI(A{mgVZgp1k{slJ}Vkfis{g&=8cf7L2FDm~#r95lfo?AXA zS_7|KyYK&Q+i8)9A0KK@*4ErXN%Z!Z-PCpYnh52C)k>4hOt}-UW$S9#>Yb0%kY2F` zvW`vs{Wy<8hNl2<&E(++Le(69&)|2&MPqX>+Bn6P#Vw-6;ug_j5xKBHG36G~VsVRT zv505`_&pIufd1wvwk#r*2>Lh2^UvY}1uS?1j1*u%^|^n8#ABmhKv@RjKR6*9@NW)d z1-A_M_gqbxc;t-eIY#SpOopmg(vyR1e*0G4!*+9?rZ?HHHLijhgKX8O75g+FG z1K~foBOHAs?t(e7S)9}|YAmikk0cAxh^x=DxarB!vuw`qxzpw%PAm@C3GKPlCa^ST zlpB;YZTNxHBmBUbIQ+nwIr@RqEBwUi7JlH&9)94A7JlIL3_oy82Ry)Kp;=0IW`eh>;h6@8Aw3`)!oJ@K|=&Y@Y8)>IYdf=;EG!Yws?0k zIJy`V&_=-Bhe%)z8IZSy2F_0PY#8h6CQJe+F)*1pXFyqJCSgKS;0#123unMk^;wSw zDzO}{mVycJbB3Hj1*JD99W#-mLv}N86@t?F$rE4$|7Vp z2~>EXqU!?{c-qFOp%wn=CD7_!#3bRV;U{-nMGBXe0;7fC)-hTtP6>uwJ7^e0*SN0( z$hNLHlIbL(a~c9CVP{4g&{!}{yzdmI9Cv}}Joh9#(?A(AfIBiq2{mS3UIuZ8 zMnQrkvPW^NC^DA{Z6gPwofw83uZYS&WkFL!1$$ zWd#SW5}GUi(;E8MeT8vXMjs3&bV*dD!*Ik}F;gXCqo@Xu!2r~< z(T`A>FnKt-a3{Dsz`$R|k>JpvGeZTFn=)=Sso)T1#%^{VxBhU*ARxewyJJ);L}h_a zJk~vI3Y)+WRzT&yG@)mM?Uk>H3xsSp8hy;a6RjhA-YL_ z{7kPb7+pz}>>twMj*S8(`zJGh4+BzDN2f@EKr~8h^xAQ2LV`D2PRb-(Mb42#X{i9-7;ONrt*Ch4{n10rnJpv&xed?- z)MJN$0N_*zhX%A6&K5$PA+!w6-0xk&m%^dPkJN&LrmO%nRN}OPdI7L1jNh%mU^T#R z9UuQC48#B~c?)xrWk5bH<~V8wyyJqnzAE#=l#^9fWirzgqz(0{!*RRxgDGpc*nvMwvSqG6V*I7#Ljw2JmuN0)n}r zCBp@LVY|mQpu<`rxiQ*+4rc`B`n$o;0L*S|OmsLROkm+-)Nn-Tu)?F8UbJOAF@y!6 zYMx`na6<`~>2$ zG1CCoA%lTBMhv?G+;faU;Kf_VQ^T2Ij;DsKWe{jWP!kIn8Vn#$+g(gZmIem}v<}4C zkRJuYLx{CNJYnNCSZbqfVZp0Il82%v>S^dOjRelGxA0V)7RqYFTVtg;ddI17NIT|zBx-2;{e z_m~VS4HXGu*e7(>kn%_cCV;kf+!vspsLk&MgIfb}M86jdP*ynXgeXD%gWQ1x7k0{k zTOrLDfxL7Gy443g3(RFT3JY|hSc((DmHE+#q;T_P0Be)yFeek~_ki_*Lk^A^#CHK2 zgi@qaK#Kto46cY0ZhQ_}RCr)wv8_JFDkxbx4W+h6jF9*Ym1s$UV^0448KP0{RFbU< zI>8Va2AUkC@k0$Dq>KvQK_rS;qYVgMN($wd02Ygaw%~%G!XywW3=M!mf%Z$Ng7h(^ zP73A3u;Wx8gjs@$KqiRcI3j@uyMn@pMztL&{L?g&t*SokG85^+fV3d=0V2U5tvk$@ z3V)B1!`+p{mDeFzXN(!+rZ+J@C5T%GjU5^jjbK1%MzApkqm4jFNTH%Wb}BGjRB{mh zLiv$t(1?nZBr@E>KZvV$VPg1#L9XOd1O*R{9n2jSH%6xq^#NCqK6Z1ti*)iZY(=$! zv8+CThX!zffRWINF9DmU#~Pcej45oAxIh$Ka{@m(9D#`o0=9$9LcF{Z)5cW~fq0sk zA&LuE!8B*zhT$NLX0$T>(**Y|XKW-Y+J&_D`qyK=U2>(Q1KETw?*o4(|+D*Us$DbvfxKllH%8${p2BK-a$66W6E@IiAx~^ zZIb)m=g;CXvsMlFyl3EIx$H~MlSto|cjNu9)c2D* zudxO*b5`Vq8n!)u<=J00l#nL&Q(@!fjeTEVP4G$6sT5qZ(shDzbcB9B{~;$6X7u?u z*OFJRJ=02^8fqD{Qwn3tHqBxisx`?3buRDQr98E;{pd{}Lmy8^$B`o@zCDd615=L8 zo_J{8$(X?!rFtlyY4MYTjaL* z5VK;|or*YL7rk#{>YEc(^zxtne3DoGYRXzyYr`wY=3mq}cYOYfDXb5&ZO(!kF&Bq* z4lOM|Yq`5`bKG6q7=~oW^Yz>J>c-3~w_*nzYOXySYy0l;TC)}LeIGVIa+@SqJ}pmn z?S8)rbA7V4>E4Z>56w_2rTJZW+M}{t^ojPuCbcYU`n#FwAFQ{;M4kTbLWjz;FuCU=aqR4$H7y;zeaHrPBUPwTo)?*Fp7k5ov6TdM~R7y49)$(M8peW)HQH=PBV~1@IygnJjNv9M!P{$BafXD=qq$U>_9MqmH=WF%v=EK zAU7ibDC#j>+YE^~NI3;XZ0uA3VL`=HA@M%M>VhOiCL%z8kQP}FJ*ove0IBxU$Q9}^ z2?hx^7|20b7YclW3>pU{@JIUesO2mTu1z}?LT!RxKp91m!4%NqSb%&%4FvegsMf}n zXanJxGy;B#^V~WAmM`8{}+KgaAUt zka9%^$1($HIVffwDb0XmGC&C(_ZdQS*uNXZsB6t<)Gup$20DIi=}f!h*Sp zk38Y!!YYK`0Ht_rC!^SM@U*JI+b__25LO560eWJ91`ENBzo*{_3ILH_YZB_2rUoS) zh+K?>3I_XwY|~NuO&XtZkkIv5%ES&B5V#u^PAmoVGW0cgy$x}TW4*`b%(qj9B|&G0 z0SFQmDN~@0pgN7PIbgqy+D&kPVx6pEr$MoX8w9u;=y}jDED?ZU&=~Z+ai@Y8>e?HC zI*0&5mKus}12YknJb+gKi(?=o_qXjNNdleQ=^QA{uoz@`=0FGcE?N^}##A*rD7jEV zdYpQfbO@aZWs->sEEgTF9UW{q=7 zJXeWis{|JcZap2Qj*vuT$G}Yh;{<9kk5leAcM`qxM<-4NHW6W3V6%Yr27G`x1u%0^ zbiq6y`wHA*-a5=)38}v@17yF#a-r)6$!efA%MVZt=99%-<3ECh_g@}S*D8P1_-^B6EnH1dwci0pJKpyk76sR0B7+CT6{ zgl_@EL8d5rdho}W24mn$tqqW5_Q*wetwIB<3t4Lja6_OcdjEhD?2N}4rSO(mI8z7= zRv=JA8en^5#8bIICJpL?dPn~TR3-8Kr!afK(FNh@3JO&L&cemqk!1n}!}Eya-bioe~5rz!Hw5MF2h| zwui+B+aFFAO45sFheE^=c8hY^A`@CI13G4=z!1onAvq-~e8L0?LE#iu2Ya4{dz}GL z;#DTl)uh1H0R{+H0qk}V89)b|1$Z9?%Nf|xiI?ExN6#Ruomf_Q_yhM9@gB~y z!h0y9Y&>FC;+LS$X=h3lV@Z)L=nuiWq1SkSf6f0ZT$=Jm3=W zH=G-EOAs!KKW-Tvf#W$?QyTb!kUT&K5Ht`38IuuAj}9OQ&Bupc!w}w{gSCPUfKLOr zpn%GNmLE7WG=+%)`iFu zi^MjV{rZ5#5m*G;LSP7}w18wTEZ&oBm}DbRD+2_NK8w&x^e_uWWuU+>F!%LQT$UVu zEC;+l^YQ^E*qDad$)g$uzlx!NR8@9}CQZ z0b&4Q;IXFq$g?Jop9VTvE**j(;aGC%aFa@G3cl$Yd{RFHj#tWFUcN~*q)>77JXG?R z25W#6J#fOnUJ#I_6#f9n0XPMG15qO-kHBwX#}GB>F~9wF^0;Rv^bLTApd3>2h?i~< zGDQa#hLk*@9Nl9NC4IzuVAe>(Ln1^U*ftH+ZqV@X)DA%5h`}Drf)2ygc==I9Xr$P| zg8*PgaD!lj4e+k}yqpz6;5zPDgbB$ZRSrQ=`fv)69)VOj2=@3rp#lPjhlv0R36V#~yeF39Fghz}1h#`t6yaP|s25;LdhwHecFB*@lU{oxV^$Lf`R<*7kKzTSg2&q=)y8!e;M%c zv7W(E1Q`|xMnkZLG(?KfXgN9@4hA?_M&W1%h!Z-YJQH&>&K>O&;6wl`fg5v2ONYBm zAE%cR7ol#HT$YZ?L&J3#BZn(b#~*L!mFG-v^%Vl;1}z^cMZocyz)KJgi=M+0Dh1T& zLI;dM`38Ynnd=ro`UG5oQAixA6aNOVV)J12Ufu=yKxhc)=y2h7q-Szj4k7fkuL8?~ zvjSHZ33}jUXvjzcAUV&$@Re}qRJgdet^zBAX(I$2$#*I!m!sf0lJ7t~ikM2_OY*rR zkfR|`7EHUbA&fa!i0dPbcjdz(hZQ3U4U3HU7#adPJ%q#_=O!XVC4}8_=Y}pMn3|&< z>%$rY@J5UQ<_2j83ou7{m=FkH8ptPjiwk2XBY^Fwg_ws5XlI~ifcS*%0|fx7Ao@s9!&bmUB{*h!cvB(l7?NVZ5s=uW zp=YN^k%A+H#4d%iyTg~^`x~#r_iHP_H$p|RVqh2|O~?R{ek61$$g{12Z@&qAiLVHz z4wU=~AR6E$FahEc#Lx)DE)WxX8Nob>7AH{)Vs3zt0^SKu0q)$8^o1l6#37Tv3c~<| z+g61JR|iF*fHw@NL1Tn_2d}RP2@E-yTjBglyu%dm`v8ZJtphSZk-LGr4oC=t7KSty zf_C{0SPNJKeWW!b(F%Vfh#Iz!5UpGtthpQp@eOEk-7+ME5k3wa3{fITm;zpY@T;Qn zqAEinH!%-_P!<_1$j%uf1W^fjqwirV0NYEHp#9>08}tr%`aAY*6lP3j{FXK?<#5Og zO2!5Nq7M-M-~AFvxR4S;3}ALCwo(pkb(jW+22BSECdev@XmDaa09P-RL53KpU1I}8 z7lL!DiBrYGOK)S73E&u>9}`i!82SNHbxp-hXOKj3wJJ;#RC#qHk~9Xc#?dtX?i){$ zWp;MDe3v{|o<}MFo^PXc-nk&l?8CV<^9jo)=^i^?*!{V8wccWDc@p2!Z~OUTdjm>* z0uGt-NyM+|%BZ{{l;2v>jC$nZ*>dq8p1+??8rZ58sRhh`6mxXMKwm;BZo z8gZ;Ed+RH|qmz~xNS1Bnk)cMKNwC))oXuyRzm;D?qlI_Yk621h8Yz#OBOAZYguY02 zm7%PYvRS$8)x+vnHs3NzuxD?(tu}u|RJA5RB`TbzeWJyVmZOmH@G)i9Gf@)xwsJsh zhR&YEC!{{h^-&K!CNJ%_sPKDd$G`71Y18oIm5WU~9-eAlzT>s#^)EHS&Vvpc5V-jT{*A#LrL`+&x_ur0Zl4vW{DmA zkiMhELD<}N%qL)>?6YV z8`amV1X1qO7OQMrhGiOjH9WAS{flpgpIm-c>p;+{yDtnD@A#S0R@^Jy^`5R`t>vFU4wb6)C$^_cr5xF8%Tx7^p~vE z(NQ3-%uzlFRrJ+F7Su{ZUUSNnB5ptj;g?HiGiR%E*fE}a|VK7APUD) zhrZ=;rTg4&70`Ns!ApPwqa#5O5NCSXS79}-AY#2H5M^{E1h}zi;4dYR9KwQA0+%)O z0r=s;A|fC!I#Sb-1%+@bP(u)iqfek(%J|j>==8+{Wa@yni0phiSPlrx=3uA*`Jl%a z@Zg{v(*^WV@(p}nwFQ9*AT9txgkE9kU`GhphO@o|X9i*v{H21xQjkY9h`%E&C*stA z@`KFkaVt#72K{&rhzh_6iYh}z;t0PZ0B8nay%6XPXE6}(N5~yM>otfn8XzZ7!gLTsh8BbdVhMrJ zaMH*KG=ceo5rHI-0F^~J`W-|B;C0hiL)_#gCLjZOCqWzH7-me+Vvr^`Ce%*Uf>fQ{ zg7ij^WS~@xE+2u-5E!O1NY$B-F%RX@l>=!;Cv0_e-(ck+oDb?nz-2;W`VLSkp)EoV zR|Gb}fkcY|bZ`j)fke|ngiD{mm+e|nWF$<0u+urKst?*0nFx8}3d=r3NvtahfBA7<nFTfx~NWkx2^Ph2g6_N#G2zkiIh6`InCt_I9 zrq6DKK9{{gcN@45f-g9;hcgNY5y!%xM*c^J7my@~*^hf10l&e^py&jsIJ8)`jBy$c zKD!wc#>?Mfk|JO^aT>YfLVegHF(Tg|>VyKgLP1M6*1$^wh}Pr-CI`v*3cmMYwY+~2 zF>qQJSo7{}m~g?%cNmiw?|X-7;E%d7Q4*brdZ^-6fwM|R)&Xd{#NXq;!Ya7hi<$G1 z7-ZBtlSBe5mREvLroi$GR-=TnEa>ph#LdL{GG)PFK=SZ+3Lbzn^b3Iao)W(OHON#* zKg5TpH1f;gUvv;X;a>Wa9wJR8_+$(2&*ZaE!q30?qY-O9-$emVU^YIh144O?zQ8&? zT*Wt$j|xv9@mat4Wck1VftTM*-WlxRRf|qH$LLOOp*NPd8Zpgw%?+1`%zJ#exR@^( z{@^cr;7>oZbL&9&X3tWSp`N2g2--@@DDVc=nOL@n&VQdE_Qf zp1e(@%*9q`wUYEypV>V52Vy3Psi+K@=(3}Dz9x>;ec4>saQ8mR^v9=HJbZ3T6ENK_ zW3}OD@+Trp!%tHryw;FNq>@UVG}{N(JZaP3EaH(e6-_y;CzRrKS&;fz@P7O|Om}?* zjc2Z{63;tT!SIsvQ4tS3&o@zbdI__eNrAlAmY9ac9$jau8J6D>QZM(D9&or6x&?HpVOC;{v)@wf*)0b=w zKbj?SrhVk)+SOU>23-8zW5o^1p7c$9Qs&$?d^u*gKddZtTX&%S%=NrmO*M;41K#tp zc?G6lTcYOCd9+2L9Uku2%Hwv1=_ zS4gapu;$ObX(R1kD^`nwzwLHj&dxXW1;6fZSh<4t(bs1w^W7Adl3e3QybW>+emW#$ zwQqX`oJPP4qpA@oHdEKMh6hVgpA(ySp51C?!}D!q!K!k0^8FEk{Uf@h5%)JkBhmw& z^q(7#ejgby8qv90Xe6X@aosS5XT+5pepJjy_!#eCzK>}UW5lH}`?~P`AMC=u%MpHM z>|=xMm-Cz6@1)Iby!~#jgsrrcUarj!Ms{+pk)9G?$ zZ1H&`{?o%Fq7r_1hPEBH|5`nB*w3iKWSObxF7~t$^(Srh2`i7Ux@+;~{czC5VU_cJ zsW)4LS7(Z^7;!p~b^aDxW%#y)WNsj-kQ#c!RA321kEheh++R*^U_`ySjXZN?PSuC! zP4P@#nz4u%NRY&mj7%V%OwZ`$yL{()~>mzf85zpOiNRp!+9p?%w3mFTfe=`nXopo z{6A~|JQHV5om|1#QzYIfnVdNw^6a{~@BsghO{<=rYG*rZ*lUMe7|GRft|{|Xd06#% zN|N;Dvx*;= z>rNDX+wytZlwVWp^L8}ZX{V2L+$~{EINsBAoo_ks$285PE`EH6$6f8vAYGrlhZl;) zUkJacS$$ncIhvi8;U4^P{?T&@-rBK8Le*STW3QjzD|$?@==x^Y9h-;D$vix{kYw(y zN!>d0{uKd{_E|gaZ4T+%9%66Yu(q!&!{EtusZWRR9>4JFYy1=wn`cXu<-8nD-IYys z7om8x-F~2fU2WD7sWFOvY&G)Yyi;mqKK6i_Rpt5BWydw&d;M%Jg?nZ}C5zN1_1zmj zS8H-Y;ajKiX~`buK{v?;Np;sbZA!i#-<1~>{;(Q!&793PU1dd!=s0eY*;#m^S23++ zyXt0%fR(w265O3vZwZuHb*MMm+eTFM!kV%p^(SVmX>+}Dxz&2wr`x;JZe980svsU^ zID5q#r?Badb`*sct*t~#?l*YI`z`%4B(z9m-0Q0MNf4K}kC zb?Ga;^j*C4lTrRw8KJw1d+g`itaIJ;k`;3!Ek}aUVU_CT9y`3|p`W(@Uba|$^r9(8 zHcN^&xa_Lgv1##7Qcjo)PsO3t_45K+=cMo2nJQcq{(ZU2={;ocSe`elD?BS#%ViZ> zn5ds>J$~zmg{P@>^Z||J^!){rC%UdNZq?~M+U!4NmFtS`Ev@gvX4W{A8Q-6Ly5z*B zpt@Qr? z?D^=^^x2cP_wCwoYTIClYIDaW#-*Jy8uQ+S>WBs{ZPyq&^!~%E!hvf8ord~-7fzoO zV!uB|a;vM^+a{k>@y`3z%59&uRNAYI(k}_+dv%-(b0o*L^KDfKc_Lw(cT-)cY5xhe z{D}f`irrdJh~2N=Sf!n%+u9@GIS>~1GF!;wWsdo;E1C4OqD3F}3I}E_%B_1o!EcGB z=DCQcsxLG?UDvvjJbU|%2baI`RONnK7+n+^$$n=-GkZ3rR53(G|ADshBiT^N^gnE2IZ%%f#{(qyq1MX@7TQiD1WsM@cLFB#*AV7Wu-l zH2eJO_x2kqe0S7e>(t{B%WSnYr%zF%)XY0Wu(gf9Hy$8vj-(-&pyyWPaj zK5%eIi5F)tiuCk)WN0bb{s~*9xaGT6sd(z z)<3t}u>NJWkx!!Rr1NU=x7EnE9+AJXa(#Zu#M+7E#eYn$biN#&*R`>BG|0X`W4{uj7FW0$5+*9wftoSzD-KFfZ!A*8~>(U7wQR)Wd%l8{Ap8fhH z`s`x+7PDKY4=*c;U8ga$eO}!;#zbYI1gQ$fsn+27191tyiAEi3FS9hsz8P}q zqhExqf5ULbd$k*fzshb(3^{9XylR5%zA}}0e21AQ1tU8zi)cO_l78ds7&<$k>|pjO zA=>+c`Bm7D8uBr=QxlI)3U;=4f==1qQ8 zJ9s4B6w|4ykCWzoDeRy9AeiPXTi^Wfls3C$MeYg7>Js0rEj|wh72j(sZni7Zz1?Wu zJ^b9Y>pSaXl%de+)iyPp6+RIpNu?dG>H9 z%`fnZqb?)N>LPaX`9Jw=Ful+vj367`4^NUx_&;oQaXVT)O0pRt;&2Q)O#vLIDLKfP1Wcuw(rbI{)K(6 zU+ToNf^uyGo}8`kiwkq_^|H(n?^!LiMEzu+X2FcbTSLt}uAcn3zA34!)Xr$z<45du z8w~bc6TWcjEUSjLlNz8Gd82pTJRbEqtEWXL4NYIpvJy5r7)ED{u=c*sDX^30ixs6m zoV9XO!LtO@*NVrL3!a@iZM#8Tlbs~j?5479mY`>gf}B>{g6gJzcrWyyjQlhq9(Z%jU{JTLKnd;Zj^ZSy3HPAvAX&@6hJC;RU*Q7I*sJ4 zufFCa<$bNt()^@IQcYu`=ETx_=5 zF6eNa-sgrl;UN`DId{*=nH(to@nT?C!`hbU6(yhepV4E^#MCd{@}B;vZ#`r6w5?l1 z8>i0c{TMyhuME%X7s4|-{4DsY>du8N_}JTi-tgj9!3BAz9H-KLS@rFgocBVfVvg_i z$=em!{b~NPDw3-XU|R>Rx0gQMqoc4;?_s94wD0`J6Z!QmVSA`fZe_8}4fiItMv1*W z*kzAd^m-THs!lr1TxebI!N6?V3pH2kGQK7&Cf9pfdvLPOV`=ic_Fu#Y{H zGL00+b~1Xkx@)OCSERJ1=8NNsd}$Bd%jjt!Uwq2KPr_tyx1fvFZ||0V zdaOx4ZGV3hObKT3US+$B#{rJO9%FmE4XReQ(0R!=OHAkb>lQN^w!u>EQ~#AH zZ}5K5y58gHNLs;+QOVV&v2?4Um7mqQvD3^n`y!=aN>)bdT43VK_jEe>I=NV`rCT`1Xv+b)-=Ec&q_IFX4l=J5#k|{!*Vt-$AFdr9L+EX@cHfV zV3=6f-el%Ktn<$=qRXohlbwFGH}`Wesr4gI?dUb&XJSy~kRNQh z5`R7+VfgkU)a>=Fe+nKBTIM>b3C@N!5ryd(tvbL?-?5l{g^o=kjOEdlG`&7e-<+<^ z^`QJCzR`HqKGvDZM^}YuigM3}iylnJP;)-v2(zf%2XZfPO0g^CA|J->1h9C8p426b zKRb!3CCas^icQ)p{Cxi0I~KwM&K<+unSZi9T)Gd*lpEVERN7`)+;G1c5Q@vG%*8FF zmEuyL*6(?k?ORjWSCA`w?*LV{I}`|~+ozd+pnFFM7Rj|U2$stWj&*OgY=8K4C%PG! z%9XWE?Ekce`|Y8V7De5|g5*iXh>6trhoC{l&m4o*eYJG;k_i3UjmbZ=P%gAEK!5)! zZXWk=vw9vUgCl|)yrI}F^Wl`?!j^0nK4=26GlrT4cuoVC|~2#v4!3Ac7J1oRT;~_e*3Bc?c=u1 z=nj_z-woD``b${d@??0Fm;0<7*negJxw{N_=$vDb{Dg{XpbR2DUhl)A2~@y9x$j@t zVU1h_{GvC5=#2lhf)pi?Xvo3ZoE-SjDHAQCTJk6DupjFXBh{af=6*@25A3RsfB=Ue z>4#>|G2;VetH%7jw`a8E>wKP+kcauEFd{giH?jszP^5?#<7sRGsQ7mL4}Wqevx`87 zGtsY-`zy9D7UyJlKrkVm+Ab-KJt*HJ<`duW0VI`03k5nhIW+SAbh%oW<$+*1TCc$B zMg~}na}}bSUE$9q$vWMym(%vitxIXO@3rGrN-d6lP3rL?f%Tu@8^$NBc>6*^{earz zF|#V8{Wr7V^!Q{uzAPSoVSgg2&58S?ISU~v5T;G}juTmY-*y?mh@enx*C-6Gu}B2n zaSG0)*(=Gb9Ro!crnlks$ptdp+sO#{x9NOxf>ZLLKjBmaHJF9TICnD%1HFcgQio8CQ8I1w!wc%$YZk_B`;3&HvVT7HG&ldr@@N{P z_Yi=%$HFFZb8j6XvTDS%rCRlcUV{`}2k;kk`(Vm)FSEg`t(4H|Yy0~$Z>t{J%v0XBsxi$cBDy>g4EY2%-q=AGVp z=L~cvq*T_Ati{26%6}KCj27I7j~IUo5Jv8$`$X`0Nb~zoUlBinZfO=C$#_ZOz_3K4 zO^118#=~BiokCb(>2Tb}EN!7QR>?wk3TCHmga#-jgT<{wUBv9*uMD()OQ7@l8Ptxy z*BNi>q~)n^w0_r@FcpMnFtoKk0#BWk(0j^4J8=bw+p^)`qr=K2c);>u z10vW^TJEf&A~&9mb9Hley`79o9-nO8w`BP(K(mSLg~~09&MD|kH ztmL+X&XWXa^=MNaH@s)V7Dy%334~nzI&$EMC@ZD7et(GP-V;;D>G#N(L#S}TDs4^) z@VGB^6SoB`yxRlRkBl0|ZwwQ#ltjz5%jdR1_Ya3o;$U#1Q5uTuAo!RU5Z%|6+#{$) zgj%>yx)C7c8v%G8vD|Kq8o~%O6^w{(n#2`(6ZQ)WgH@#f`4AzFWa^$h9|a=WqSK(^ zDhf8kM`n3Z$TA0DOKSf3DJu`~PoYPSc*6DJ#)CW`S_3qzx6!eGwN!jGq)Kumt# zvVJr-XBz5+7sDhT(L!LE55!1yQu^2?un^$;a(_ZKu0};R_we<&>*UhCFEdppc3+D! zTngyb%<1;rde3txlCwkQdSKjMWiyo}KEZFsOYN|mPT^e5Mc_k8U=viiuC+o3pJ_1>R*x3?1I!h5N z!+%mB;#2I{0@8TZ&u~--wb@(l5zaMnk7q+gDuXT`sJ|dbg!>^=+HKBY-8zTG_WBcUw^&!ULhNW-X(e^eI_qsKkEQlU=bktD>K};*Z`MIv_w-nwTZ8kAL;L zX!e5n4PbuH1^p>_@5)98mu$g5ho*Jbe)TWMykG04lp%*+X0A)AX_rhQ#Di{Qlv$Td zcLFsyBAGZR*lk2nxT;3m=vfD7wNc)uJnfszv(dC7X5(rZum7ao0Q}gNe@lqzH#(Ee zl8ryO!v`*QXE!twO7L3Cm3SE27k@mm!ngh~rP42+vmMc?0q=Dq|0Ad&&fSG3iQ-1p zUFklNVetmZa!Q_80Rsj`lA)vCoZG!#Lx__PK4WIs>4CjJ@3CjlKchOHHyZ6pJFfy& zI%gx``P5NkI2*V|TDh9MKsatpK~dJaWLX$q1IvIFsVEt0^>y-uNzWiL^M9g8#kn;o z>Fh*@nEK3Lvrc^Fe3K|sxun9d=N@j=Vv#?V-eJVy(*#llW=*0A!$yTqmU0!yZ`#E) z3CYN*c`_Xq z&(_oB(yFBNH8It}@Y5Icrhh|<0@eL|H_d|1S-cKnN99|Z-)#*A5Wnuda7*;p>m+^b z=`$|7$vKYAjTBiM4^64@j)m*#TJ-uD&-#tRds!%=VDYVtJPb=jUFqK7fRH7uVdZ8G zkH*cXS9pag`xQaa+xcTD7t$R_(U&X+FJd-&_s#$)O_(Zf2|ONg)MX31O%D&#-@3f#iHn&=!1+0h0Y%vz>d zs`O_6IQ*lDGj%Ef2QAMtOMw{$lQz9!xzlP)FQ!z|0w3vr&VMVFVfiQQDtWT%>-h3) zN%lmQ-Wa#gWo2Dam3RF#_2(E_ouHPzX>vjkFNY1z0jdD8oesDWQZbvDDv4D7B9PH> z&HDjigwYGW>jWbOkL86BbF4xef+D+A$oF#uDw{!4A43z4&;`S`?e5YBM-;~rL;(;8_N%_H>vl_rAsH7blz z8XCkfRoZb06In$BON8#ecC5&C*O__si#gF^wqchq#<- z&>o3tXBJ0CLb>G9_!WUS=B;LIa+_F=kc))-=kP6M?*z?4e1`7=Y|3nBi|R3?*7H&X z%;UK=%mhUZae9_?R*o;8ump>nOANLn^q;oV4WF(PCNQu)FZyT-IkPcdk1_STfDLR^ z>PB@|Ie%SQ6qo8NU`n~4z2XoGZfc1iehDGhRhggq&o0iK@nBv#RQRo7+W%DUBmk2{ zLNY+N(8;Y5oN*|!=Aj?fX6l1~ERGZu!%>r!$-u_#B*#swiI*o-NGl3-IO;-j*MoJ^ z`&C>__r-}AnLvFLM3K~Vpg>D`PseSD5`z{eMSt27JcF+|$;O35#Pn|Y-NYbyzwF0I zU&@uaTO(AjrDaRs7sqKaZRHe!dJ#>SxMVA|rSj<~uMP~Toy!BMu-)`UVHDX&{FC{E z+VoG?#-q_nZqfWb>EvLh^1~UcvcZ9E0)v^s2<5+_@^G>a`tIh#Q`+xO+1#$hNP;5P ze}5dDHu*$4MrTWs7&ub)TIkL!d6UPJ*Cy51tBz$MT(>>zc&?$c?w5xN5^F|cd^Nwv z)~ZjFBUyoydlBV-~kAK{S5@-*T%31lT6C9r?`NO5r#dMG&7+)*1 ze$7{R!z+k^!!@uq@YRv~?L^qEyXwp;?#8Po2jl*(oap|QY@otbXnH`lvu3C?6%ICY z0*1x0_5fhM3JNuwD_#}TP>V}_t{F+d(KCu!lA(ulOSLyNriy}|aw;(HS@{AFPk*zb zrg1tM6|2M`^ybW&!B*&2IcA8)eWEGA@wUR?BsgN3-jas{>7PbUXjt)DiGCd~QmqJQ zRJ;xKj9lAETeVoSlbs!svoifk3#vN4@7h@SiYe5-!29#9A^p3{+0Mar%*crS#zw+Z z3}cgz>r~FFRvQ@K=;tYOvi6q-xPJs*!I0Q+1&3od3ajts=w(+dpS`*iqs}r};88 zKDQRPvRKpm(}jtnU=qDpkf$y7if|PAJ;;`MvSt1h1^ILboQG~BHR7y1aer0L=4-Mo zl^=cemp(*NnXzPOOkBE@D9&v@E+JbpdHk{X+b2^Su8`UXgp)f$UhL-vbxBv$!0$$7-o^kr7#GNK;G@@H%vTjTw~ z>aF0U`ulkkNs7nw@l{D>w*u6@Hx+gPbgR{sQ8ocRu<{J>)RIWYO@H($ob_{iycleu z+ImJbdtvwGBo;ScT^$XfoZ=>j0YXYwKHZ(*#zHdIeI#x)WvFz{CV3vjD$#yKT3-t4 z?%w%$#-m}h8^EMmy$0Ey=1~6vr7;Y>$LiXrysZZV9-1O0Nb6JGC-8!zQ03AKqT=d{ z=I+Ee4`G{2@GQFCD}UQ>jD@L%@eCu&d0*+Dl%SC>_)uahZs2~w9{rl)F*#4?cCBgG z%Ter-EtNjGT*gWYkz#)nZO4gMzryOys)6PO9XV!z z-Fx_0?U?@hR;u^a`YuIo5O{?$b>k{cl_Yg~K`omYbEKuO5EKl)at14qSlu1qP6JDX z+JWlPo@&C*6_d**=7_26B5K}1>}c`bL%>7i%nWVvt1MD^Z%^-# zUJ|=~{CVZMxtV|Ca7_B6O1BW~^?@DelBv{bSn&=*ecDwYI{|4`9UG5jw-5@73Ok9C^?; zA%Udq)%Dc=oyFZ_fqrVo>d(n#K?~13A}38f`4Tjr-{=LQ@0LZg&e=2eTQvR(+md;9 zp^W)(5KI%3JMF8W{=UaWDZ}Txp+0X`KL2lS2Y<@(KAHGgm^jyU3Q-=g7`*pJ(S;2$ zlup^b0wUWHiN$w4Zj~C?Znuu+UEt&+y@^sQ>r}UN^G$z#ORCPLf$UW^Jjg&Ne@CBUTM23>N|glL=Mpc$y6KSWfA$4=T}>rnTSGJzC2 za(^1k1IM8pO7b)fb|P&c$E(xr19MEibYRa$$y3WJlWZXy2fMbt^IchItlf`k=Qohk z(btDz$-?<38TQ|vgHU)^d)c;BRL*U$&jOM@FiT^U&)w8->qPVlMU=!!WHO16lB>D* zSHCdTIx12o;uUaXGZs5^EBaW3b;tCs1%DCMDFu~K;A5lw5rLx5oU|#1zl(6F7_2RWKl4~30McpkK8$*b@lW_-uqcljE+{#$hQCrlx0h8I4M|J;Vtxzc_zh7(>w2oTfWpXL<-!ztFv}-s#)cC22HVem>P;#c2rx8}guF1I&vV1^&;l%MbeS!5YZ~gJ>o} z^arIv7xv@A!dvqlKCNW;-)ZhlzLZK93Fdn430zgr8wnbI2%K#!NS|?K>VNbFTtL{9 zb^8l8=*=aD&OYNsNP`NK5B%SAe!q~|7}**-#g|ML$p2%u7M) z-64q_2W^0sw{M!s%JU+I`i$Ay+JL1_Vr$-2z~3yg!GLCi^vb&v#1K14dL@NY5;{RD z36s#%&%%RP2U!hWjQQlp(SPcmf2?J4x0VDDv%6e4R?AiHyt`8VDH|okyw)z1gF3<8 zhb^Ip0>#*R^$k@gVQht#Rh>2Ws712WWeDP#cx2ya1Uz4*WGDkV#{7wezGjFv(Ucjw z1uW8}=~f-q9<8iR)&QC2B}IX=V4|&+gH}t2@GC+xWJ2hFz!_d^oT*m~F+PMZ-guZQpP;!U;<9+c@fz3{{bCCl3mmxtg7 zo_{i;rGc%zekST)BNjM!*dd|zjdrPE1=G50alh;XVmzO)sN~h3G(n&4DZb_ZC(71p zB`?RTnz)O8!p=WAb+Nj%n|(EW=@>ikmk_Tpem|?5kSMH-xB7t#TSt$jx3QOAfU<57 zOgN+g&qE@kLKi50Kftq2@(ov4TjWXct_d`+;M4rXc7J1Q=0_;d9}cqeIe-?04N}yt zFAEN=(pJy^mV8(7-22@>9NTO7dy&)cg{Y}k;iT@va|na;3kPmnRi%P;+~8gOEdV@0 z*E?ZN=wOK^DngtrGBIoeI_%90AF2abyCD2;Uf{Tu=9{ZO2Oi;-i8tydj7hpGJnwwU;h-P-B-2X@V=Yb# z>N~4#jdxskd$s! zWL*A+0+8F-8%>Bf8-tGO8f7U!XJLs#gJ4yc-+wCGHC3f6jz;ZKb!(XKB)$hH$bZbF zuc9lZAPQjI5;K?GSOg|}7smu%*^0_cad%x4`Q4z4Sd~t*>qVgYb!DreY`Ts@%BcC9 z4imL?16{{f48W7&_>#N)n}boSR53fzT`yNS)P5o1cThBz%D-m!?00+!#&ic?1x_M*LVs`_!>4sTlDVI(&Gbg9#O*pT^i9y?IP8 ztZhOhVS{Q3lV4mklhH~ODk_v@H2y#Emzw4nnMI{c>0xpwBS2j{Az>1;X*9-dqVPl9 z0_3UIUqm=?dV}s|?X0(YsaI5+M^}qpSO$GUuS&i2tt^5NG{BAZ|7gt;E=J=KVt@7T zCpK9NQ$om2V2n_C%Oi;lSFm`@Mp)Nxq3al@=m;Ywn3Si9sJy;xDMpuZ$26P09b_fs zz;H>|%e_j1QME?n-aybP#efmw4$AW z6;!LYNSjkJxI}QB1(O+vG&kBWD}P;QPluy%EpTGo%{HOZgctqm{j5GrH@PdhyrcHL ze2I*eXRON(6hM$_23()n*exD2s|n6sFoN1UJv2r}g(&W>{qv=mcb(~uX9&D1oon+7 zyI#Yb81qMF%nw>aip*R^8YS)}AT(P(N)}i3GRl~wvF|wERi%xp%}+7(@PC8TWEw=A z$nEXggB@dl4<=qs03@*gc-eDI`+>DRDroHUKr_L75(VZ@_gW0{933*qZV>LJ4<(#)Og=^ z{zgHLXXMmHpER;j|3yiU_J8`DJfX#Ao^*s>gEE^W@6cptXV;b@PDkO+h(dZv?!|uC zq%ncw(Noz~t`&RH6ZOX}R3x@ca#05BS8V~##w?4i6FnVyoD?@NwZS3$)Jam3<4U=Esb0Zj>Te9O&R}HP-2&Or6`R`0Ec*oZPh{P3QYv(93Fq zf% z9z9NLbTyX7KP$Tl)JNRpqgjhyrks(Oh&;U($s){-F>80hsDD$_fCm%n`v%&aGc?j^ zV!tT{A(f{qOTbiZuY+m>(mhXHO{98Q#CMHB!Q=EM0l7p1vh{OzkoN3o8%y0QDK3TV z`v|iS4z@XBj0nZhc*6$J>Ik7P2|Mn~PcZeqk)Pr*o4dz~q4WaO~2jC!k5MosD(nu1QlfB>N*Z8eX9)CD0gkZ;gelwnq(~a4JPb zKBen>t>{Zdec5*-^6ex@GSCwYEzqjLO6U3>s&WZT$P$TNu@^ePqB%c|v(s+CXIC11 zsC-rpzjU$jh6VRw6C#2U-qP%MXlB|+8H?CTt9l35D8mkGuSH3P9gGrlu@>oFi^0*}K1=T;^cnH{w(ZflS-70iu=olHf zb!Ts^4qP$-fb1+RKeg8h(ymm%arsw+;-LDF#iz?YZu;x3U#k`u`}kR0-1 zo6W&WT7O`a)oqGi++LTMUc@{f*NEsR5GSG$q-5qSsezR=G>4E$6df9_`3o_dbT{+8 zvk|FEV?VCLAeCgt@Aq@8+HTQ<4;8&9W64Cf@TU@$QF3dRP*(2Wpq1C312Lg=!`_%dBkmsDI*-;PC^Vy*2^7IgEJ)h*=FS1x4bl z&f~AcKKD2`L#R(;0Y*H_6eMo-9{C0dUAgp=E)1@Dqrp*~pH*o1zMFs^3<336J-O*W zN$#{*#>o<9d9ii?q?OGaOM=d`b_d_v6V{t@d$ipItoD!AYEzr_ zUr#V@sLK4r>M@Kub&*gi)C&L+#oqgV_kVSzNjkO}$H-vPQzC zijFtx`KZfn1A(MxOvbKh9V;>}?ej!YT;c`z2u04#5ppTqH$L_1LgzRCEu#Z3w2abj zJ(`&GQsok6Hqr)oOut|GKGNiqm7{oq@ZQJ|W!X@t9VN4*_&YJ@{)NuuP1i|IhsSm5 z!(>jM_F|&>$^kJE3VTK%MTk{V3V&Sh7^Aeb6swM7l=hSCPLmr>J>^ULD+JVh!9Oy2 zUfQ0KQ%>_(gzD65tADb6Gx=`H?XCcLM?sTobn4aO4Qw_SDftVCceuZra4fD&mp8Ku zj%VTtSwcb#&mBp5N-oOCOnJ!~& zBYE*Q@;hAXvS?G}kfh1Vo%A=8Y2716pf!AC`|y4c;aOAB+qJdq=v-|H$>q=Mr|6n` zS8w{(Nf^4gi|nDorkG`VTz@d%JO|f*EC{pB4wm*KJnCNmR6tf&I3Zo7d&O=fkA}cMe&zrp_m)4M@DTi;(yOG(V-4F6hos;UH_oG@9eg{iA1=1n4GKG$wOiRGnL##$@$$wg0%?5wF zhH@+DaY4@yX~@elMGVbumoK7al*t=sS1@s5>S#W2l%wpAIe!n^RJR{E2^x@y=5ypJ zYrTr}m!5?!n4JLZ*jHZ*j=zQIP|#()<%8V~{bMKw<-k=@J8q=L^nAExqCd;~MmrrQ)v^ z8kze8p(0=U*;I^Lx%`|$B!a%JQg$nzm^;l%LtRk?6@R6y3_%~X0(>{Wa@s;_c-cB2 z@c1;vj%Dt^6F26zLIa)2b*9%HM3oWv{iOG@*t@A2QkFF>feZpx+G;7fFFT=Dy=)Y1 zw0s!gVQEFb?)v=XK>J^nlU+9Ma?@?PHUi2Vy0%3T`>1CZOFRY*@mJQbE0>2fQ;>3z zBL$$aLw{%9LHD+l{3dW(r{AUeSTZJusa2|f88pS$VrrmVBkPD2OkbFZjnuIWt{02W z&(ZUD@kUwF;YK=T!i3OP{<;ktBBPU|^R!t!LSVoaWR4u=Ly)goK(A*!g}P=P!Id)q zokljsBodjW!Mp&YNP8PpQ7Bj@AdhfAq3H2{00eN>OP!Vv1QeIjpWMy)5V{dY0FLy6^m*M&Z69zUnATS_Omt5up z8kYq~ul1CiUAt=6IZaKWti~j2W@iGD zvV%A?u`;vp0TjWuCN55D#t?ZXF*_SGz?+j3g_>I25oGKPwu49*JA?QDnjkZP1jrP? z#(xH2<>lo?p$3TC*?TyGEi9b@bQ-Ff^o)!j|K<5B1z_Uw&&bfXzP)fTV~F$H44mx%V2G)Wi`icU-u&ive-pBIw0q02eT%(;DcdA{=w7P(%AX0+D_oNFu>0IEz``-)a9?1{*JxDyhWXj!4M~aGsxZfuUaM`fPWd- z$==4;dcf-H<3&1^tUPH!-8*ndsuUws1pOZUe1_BI}W zpSJru>pwYwot;27=FBLpY;QG9o!@F(fFUTrzZOLXVr~auW%-BS%*FnnF;|e|-vgri zYiH=+NEn;hL2Nt#W*~DEprW1gTYpIa-TzEw=Knj9{|_YoKN0xN>*hvcP4l+{)JDXYp%#Cf{X7smT17Zepv;jjvZ(9C6Mt=YkD<|i_ z#ndgqrq+Rfp zfBgPs>HiyyB*fIt?5{mhbAL95n7ysme>(mOo4PnUzA5_mZGUU|pZ4EN4g$G@Oi>o+ z>`eKCtkN>moofkm2F4_Gd%Ia-2ZHTO8q^E({i^M{eAB`uRD9^JQ`G0jd9WIv7yPIPw zss_bR2xQ~!?2brhxAcBguK9j=A8zb1d`wg8WAQt%8liA%3yzLy5)W=~X#0l;2Yz(@ zf$!NNVa$$2rsg*$^hrLVNOJZta=dCVeRgB2=F3V9{z+llJA}Y|U-JRA`vcsrD2WJ} z<*9y}Ap3fRY15L=jDPq(@u4i+I9Z*(OFV839uu8 znjNx0F%*fyk*nuHVKQ@=p;tys93)!GECg;!v-Bg*R(2X%Imk5xZgIy=?D6Dp}I zvW13L^(R!Kr#4T$yt6yo7lrI|PCI?gNnunbOo=RGQ0qtcZhvT#Iy1AVJgU0a(%z1B z94)i4AyRLxKZ(xGWIrqW`Z<(4N=dmssSVCZATXqj0~pHU22^K7>Q<2@GiUr`U0tR~ zr#2;Xr!3G|xr%?-op3#v!2`NW{qEH#VZGFQT2e&-R9*Hpc`d;LFXRzM-)e-1K`Zd>mAaj_ z%(wDNcyLrXbwW!)nnm>{(j$6>(|0MgW&GeWI9-$#>VHPTTc|_S08Ba-uYsFaU}3IR zgza%un|$prt|dfm;fkK2Hf)(iQZb+uu~_!FNb@ipAse*CV$MTS+`h-qe>`pGBOmGDhPo{+B=I}Fv+nVMeMD#j#ptrbZ^yY` zucgVoAb;~U%m?6O0D~omjYy`7SWAf@_`b34puJ#O!-%^O=X!})pYB^oRldVdRqmKn zgr#pK0xf_!4>um0JC7_9K_NYg&Tgn!m4cI=6TN~(1(&P)CyA!o#E)SDu# zX?&o4*&Q*PYtDhb=gV1639v*>bG3-TM*w|UDZ%{m4BR`OX&m1cPf9*0qMnpe?po6V zQCjW3Bu~&bjO^~5t~BN+5VLjsh)x?oFA<*X*g2mvC0$q5X3!Jii&lXQ!IkH#e;S*t z$A3~3ugBr#TE3mzus0_tv9K-SV=1tCp=5n5+I#^gGXPO~R3v{)Tw#}f^KNhxJDY3v zOPPEEKmI)89fhCdxFn^jRXoHTl?bW;s2!|=^N;=jirdXPHAFHRn~D#aSPVo`<gNuug;kO{(rJ_?c4oaa=!+YzLH}T#;rQfajGI96nCUX zg2tK-I-X;ft7(o*wrybvHO$ft84!8dH0JvxVPUWHt=;(^l2e$Ic%JyNsB(tByCYu( zij-#PnY8@g5?TJW61nZ4(RWxr3L(&@lBE&h8i4kcs!7iA(G(5dv*IIoe}6)r z-Xdd_Gf{~v!}KCd(M5_zHpBL)$_0`zQVfqPw7uukNeMQ7uM@r zI}~FRRr7n6;%24e4w_0#7OK2EaDTVzRNjT7pXCPY1s$|?$rf^(n5?@cUQnlw(j;T+ z-$h2$)tK=df`z&gzj|da)9HnRqhsn3CbSkZ;P++mQ8v!cS`@CJ?WrbOAO=mUNg+& zk>LJy;gco6mA;MV9)=19_9;m5^!`yYoPI2ZG%ZpZ@^wWJs#M{i3o)|r&!E6v#K(3( z;buk@%&$&Y2iWe&s7+CmvVWiHzbu~hmaqBya`NOWR8g7Z&%V&B4s#6$7_e-gaH1Vn zd1TVoArqql`L_UJJkumU8_Iw2;iPvaHvB1b>{i0|h40K(rf(WdKc?P34CTljk@Z&y zP}~_&3miC(vXKm<44+_7O;l=jRpR`7blgTqwF~7NcvCQ4e2nSa!G9mmhQ6=itkWoo zyLJqkTOdUb^r!B?^P5R(`T4tEEuZBv8U6a1^!c)-_J>t2K_ql}ah$d=Wv>CGY--KE zr9E-%=Yi-{D^+6&n%CHKPe0V|^gQW}NIo>LFY=}!?Za<X9>(B@gy@uW{CZhdyc{)w=Zb+%+J^qFYZuZ0$!*Gj~ zm3~2tA~2yFkFGLKMTVv9Pz+6`J-U)}s^l=p8trRzYeJ{~qTvc!wC=_JWZPN)c5l7^B61%LX$DHe$YzjN>Q`E)i!mbZ1RpFCJyhA9MZC zC!~$D_hrl=DSup0Z4kONrWO!vds(hN6(OBX=2Z|=)jHZg` zy?6B5?U|y6owOxD#Zhl1+{aiDMW%?8*5*|WgA{dy(0@_LGV;q;$;V2W=rsIsw?o#_ zuVWVEGvu8drc>SVbcWdrg4H=#9-WFBao|~0qM#!FF<@hOLR-AIZv4~J*QyORI!q6= zz|{<@;T^6x?<^mApir~LzPQo`m;C}%OYRi$Kf(pA`Oc zq5HJq|G;Ji^bn@zX!^hpt1Zwd%Sl9blsVV3$A4f~`-+NAS1WTVxYR&npNGVQKWpCE zks1+0q?^RoPkHKC2nKMyZVsLrJ!Ma+0eilCIV%%w(;stWriJ$qfpWP*d-6AAw zsA{L^7|Kd#*fIv7p)jt`UU`s?PQ?X(C~cob085eS|GS!Rcs5Wr82WFbcJ)8 zCmlk0ZKT39`}EuvpZ+LWmmyFg!3Bi&g;qAU0Mu@+g$e!^F5|Z$+fgI(yZ+?1?SI6r zCUGl$Ay5wZlZB1D z_C4-Yc{N5X9m3D#vBc^)ez+C;I)7*Ca07DOpv3fBx4_TO=b2&V*{7PkKAAh8RJ{m4 z=1YikJgQUN@s5*K;J@1MJ%?vQV?P(^1Ku&pR+9U!)kR%FJ91?4f*ciy)`f}B|sx3SszDN36Nhh%2Ox*d-)*MIbVGm-Q4 zw|H6HtyXLOgFcp(ZzZzX$SA9^kJ4A%QZxlPp!pm zNxupFm|9Mv@KaDFJ#NtCX##P+#xwkE{PKJI-W~FZH!OZ|S}aL9pENsH^ebOhwg}!B z;ieB-QE%-myqgu(uh+~TYJWuwBmcW89)p@y!!{I)Hu1S&;+dcPgS^$ulw&;s{$I;J zV4z-6IrjI9_TrJYJ}L%X?`m67mum(A2KYM_@WtNuRJMUn`pTsaIDx^ zSs^KJ)2xJ^%z`9ZgUM&HxrfZZx6%mJdH16}lgh2$Zb67bBIDLNtbbNgeV%>_upJNwB+(AJ?hj7O$7sDy@R(2y{&5QuKIh&k_ZQlVW4SgwAV=oZ* z`_<@y7NE3>!_Qh!k_7w#LIQ`7s5wTlAf6ijta@j*+{}rtjx<2=^Teu`GO^ekK#YBe zHmU6NEaAnyEU6E4`+u<}F?5xwJ=C!ul}r8wS2J*#q3|BB%_5>PiWtYxut?we5b?=` z!N9_Wt-Lo6CQAxQQK*1pjKu<7@D?eMv?hInQGv2u_-6_QRPl76E0zirD|HO^yE$Rf ze1DG^M9bhLeIP%H2H*U=EF=Q{0K`J@!6?XIZBXV6eeZW*Xn)jUUrHh;-O^XLefJ3s z4`goaQ2H{Rqdf_S2S%fq0MAHy9^1=xC&94Nr|*Zf<@9G>Zc8FE4vAMg$1b(f@wNkI zyYrr5YYOqMBUY(bRE{)#;Y`~&28Dhiy_2s6`}o|i62!?7mQu^QqLt=Fkr#w>*3?Nn zY{V8=rm>moF@Num&gx9+$P|)m9-rG?c6sGBS9(QK&7sQBP6wi+u|#0)m@$;$JQ9(W z_MvU;w2`BO;EHKP$WtyeNrTF?5@dS5liMPNx14UZ2j>sEamBX_Qu^1l@MQ;&bCsCP zP0^9oa`USCA(tM6wFynL_$Gi35?r+e}%I4fg4tP20`cmo2#Lf|WUSi2zSq z{BC;wSW)k!4^En2kqj7WQJNyeal4~tai*qw)D(IpDy%05qS=C0omi~r_n1xSmD($L z7 zYZa0y-aa$84is$EcXxTG{`1dqkYcXIw@_{JfWZfm?5t>Uo0(g4Dw%cu>4HAoAr@e4 zn%iy6a#vr-5>@G_VOgn`$?&UXG2r~3U^X>0$CdF`Q6VlU(Pg47WNT{6fiJ)5%Np{b zHh)kpX8Oy$#5B#xvc0EfDlBv0*84EHCe%&f9p>=oyw9jw&p9E~e9PPqh{3M%ZT^%= zx^)vskAhM6F79Q-7 z>``JZ#tLYIsC_GQeD;n)iF<-trI%#=Tz{Jml|p(jn!fQS()2bDr4w?YDhSO7|90(h zY@9bYW)tVvmWP)6IrFXDQ1><915N|gRMR-<(6*6iXM!4ZWhYxvO?--+;6lFr#Xnd^ zeq!{B6}uuL0PzdKz%+9F<*%5*$O}12spK{P=M}Uc7;X%&g_eqU$C4u->CdkFcYoA$ z$#((}LoX=n>CVy!#rb4&a)<)X!>+N9YM3crt$))GbgQZQxO5>KRaRreSf3PC_+?5+ zwZ7^N&C>KR%ty_<(gaPg-6AMwysy#23N}}sY4x-@2U9Q^5Fz9zqKYd@<_>(IHUKjA zOVDOkCzP5xLs{qMZ59@UdKL+7%zyC&B5O+W1XL)!Xszx7op)gNgmeU#)%+*M+@Zd& z(;qyO7m@rzoq*i=L11m;1s&$l9Ys2NziJmQG$I__bT9(o{T6fanXhWGLEG=ndGpeI z6Axc|rL6*Pl3jEA1_|`Ge^F%blwj49lA7!a8WDfK{DfMGZk2=;8*O*t0)I6l$d}-| zmJ1F2NhTR^JUrRpc63KS?KrDdWyH&&iObx<$S4Sz`EBmXCgUCV;*Dob_PbXo8dYj^ z^@O~4GL8QJoo`WK}Y!Mn^Ccbnhu>{kbMOI(rR6I)OTbcn7WVD1d=C`iY|ZPzTUUe0WcL!z|h zE8!qkBwIIUTUl1nd&;#&-iw8^uqQ(8t4ZklU+&bTj5sPurfWsb z3b#LSA@=G;LshcpxY*lcVMLW-Bn*cW32u<@mtM6yo6f1lw;}pDf^`}qu zZMyD;VPrMGRRcz(7j1Ov03*INe~#QI=bmw#g{^y2O|s@fQlBawS1bpP_47lPH~@Zz zCh!}mOm#kdKP-xq=#PH{-}{c8Z?i*7UDNiWEem}<+d~RtXW+!WjloN!s!)$&Jc`}n zoyXP{$y$Hea(O{vhM{8pBk2;7NGD60hPe}VH`}x zvHEoJ1A*sJt;Hu30}8u{8;ni1mkC$i6VH3q^=(rsw}9>XYCIt$gp|N|@95BT_GpIV46MitfLa?f{=Y-+8qqelg&$J;ka+Oxbxzun*n-p3(;2?F6@`9h_LOt_qCMmdf8LT3r=K02@!@_ol1W!ML`IA>Pzq$&rL?0!q3ys zNA5337OA&Np+O&$+Oji2AeQGn9!Gkz1ZJq?d8kOm^}`sGwx zvi~&cO%X}T&H3o9;>98RG7!r`Ojk;1JmrBuc*-Rp`{6U4%)tX5 zsSf(I9tr!bd~O;W`L+)HtNc24{+aOgZ-}(Op#u)}ySuebS^nlDXs*x`uJlT0LP<25 z8&N+d)_an2k-kMVmRvlU$;-0^+4J8?k$&p1B|&TPiJd0}^P+Lyy2ECsxeelx*7vJb zXnKD|@@4(8K;C>b%J07Kj+9k1KF6AuI3?5v;#_vl;hB|UM_V+xC)tS~@NgBK8kr36 zGklrRmaeBXgnn{vkY!L#j&{d0JTqEmlE z<+6XMzIPEt>jYK!0h(}Yu3deQ5s9t!v97J_a<{?<%VagWh^OSzQp2_O85L>yDS}F^ zScFY;_Z%Pm*Gp=OPM@(-7$ zm%hd-^C(506EyGeS)9BwifHM0)C%~TH$w$6Um6Nk2BGJETf;lrn0!D*tJzWDS{~rt>JemS);IAOwYzP<%_R%FeG4 zQ&vyQdBxxQ!x0HgL<346=GMETIlr*@PZ$-7HSo+gS5qki;eJJ69@IJXM%90M^$iNg zH?h0Bw(pK<85?z02`tT3>FJ-#Gf)NOq(h1a33<5E3XZ1tQB#>cXn%L-JZ^iMB|Gv* zBRZtmZJ1wkw2X{M6@LnP>j;NN`IU?I`V|; z>5!hgIbe5C%YE|4rcO2zT`m>fn6=}OJgSoWdFgV zN}Dp7C??joM&+g5RJDJKLEQ$}JWe+I%dEL+5 z-Pp=uz2SFM&k91lqpu~O(V+i(vf`3Fk=QS7IbrfMy6fNZ5!MXzN(cmO*#|n@#zAMI zBi8f|%bl!KB(+dp?sNi)*DQq_e%kbz@khKn3y!a$EZfweG*tbMz-P2{atY30IK%uZ>BzJ<4Aw3@ages7RKUW*epkMsksQe zCeF^`q5CdmN*h>RlYnJUX51O(e49-)wPGL$7QWw{SfMS~87HT|SB5S=gT8YzO1L>V zF+{UjFG?(Uv^K2 zV4lsow4+#PS0IJ|S1W3$+1Omut9(-mrhpD06AGt%YG0FJWa&){BQcq_+F|%8r6@yt zhe413_PyPcf>)@_Qn7}uecTn@Va(VloV(tnv}%7Xl3f85-NV56OA!b1kJIjTk8upW zZ@yq8$h0E@^h&}Rpns8$8x3E+Dwm>a8ME8;^K?R~y^fQk)ljM6bf{~?hHk_Hzyg+O zKOr+0Ko=q&EnGc4AA zbv1w3$&D14Gf;?6aO3S`tq@gx(V0j)&RB<=6Mswqrb#2)aJBVuVUY;rswaz(bm;~t zpnWp4MLWWFw%F_1+3WL>XXd1D9J(RhtvDWXAr$CCBi_l24~$vqa!h6lEFEnd%YE>U z)Q%0+`|8fzAiSqFQRr~6yCBkTe7M6dd{h_MAFCKUCY4WGQYz>SM(Je0CSl8Kg zmjEp+Kh?L3P`j?C^Ak)o6;{*1@<`S5no1OF_3Og(_wNQCoZT_IFSx@5z+)wY|BRNN0BU5@D)Ng9JiReZ$FiXafNwR2+)izmEPXfuRKk)X@j+2#>s zF+u9SB(EA6{7p*(uxqqIg-G{~{t|!9L7g&fdB}o6O`px?4qtD0GNAcs$EZ%w(fsfy zj<0e5z)VUI1wmxy%^L3s^mqI=#0_tAgx5Z{T;%y5_=22Uo7aE7ZDNkmdQnxpj^Ns;AJHXW<0-(2NLxI0GKrvZIQ1p|AJ9~d17(3w~dMFiUAv?Tk7`$9EKK#N`Wct2hTYkrc*f324 ziaX!&OW|vE&OJ2FREYhy=Ck~@DU|^svw(e(P=9wZ2sysj?TI+ZdCinH$PZ2g<}~7t zlD5q-8miZ&w!oIBOWo&6SJ1z{RI2c>_jQG*g6pn{G=`X{e%~jU`M7__NStcFgBaH% z#p0c8rxF`&M<(S)Zyt-@M%00@(63k@O?1%7>YtsKORaf3_`?cl2C4IHtqpSokgq^R zb*)RTI@hn^El?M;XFB>3ZorzB&ri`EJb1uDLkPyNH0(%a>LTKIoUg#4qk7Fd&68q| zMhA4sw4K1fO{4GuEN*|pcV?x8A7Gy-bagoeBENOzeN}K6K~Xsh@?DLZs>|%gZcb?H zveT;ZKvmC|5_G4=e(`0A!EgCi5j%9uBPbSg5C1{DuZK7=Wy{4?tcAEqE2AWE>kB`Zo4PR;C7&w0($93U5oWp1Ht&z4~ zs>GsiPghc~5f}v9-iIJtoZC+XmlCpN4ucyC5S!YvDs-r(&(my#VOo`7!G}R=KWE^$-kZ3@_ zLiM0MuIjjQkq_7x|NJ7yA>nVJPt+DJCK6K-Ff{{T{&t!c% zt9_m(C@mxoVPWz2dlN(ClgO_!wFGl^?(9@azGPGIFoJ)NHnW!5M16a3_i6K($(SeQ z>kaDoYV_k}RX3Zj=_tmiwQTOf6 zBvOpw$xDAmO}2e8bN9oH^n8@u$3s5@j^CTo%Pq#pg`lCi>k7?`!)eyhq3mEF(m1pu2a;7L zMx(9AH`tCq5kJWXt=+O5p5kTXkgvnk4T)Y8bMb!}?OQ@Z7DSN(TI%^w-_6PdUj^TC zBKeGA2m3TCBmW}(k+e?#n_|Ut2t^g_2n$A^;!IG(33EEGhi!gGG0lP6-j2MP5|ruR zM^2Xm6yteG1A%j>=$`deVBMads<~w7tOtqJJ`hHqlDa{L+w>>i! z6n=l(-Qin&HH9iz`osqjtX=^}PIfHI4jc*plUduyUfMFN@t-K4oD>g8Zt(p;)1 zuS0YL&UPh(=Vg#D)aaWdr4_da@JoMGo1ZD>i%{GACF!n_4gP0iqNU8IBP())7k&_V zW|5ngRP;$#dMSE|{zm849jzs)&jy_f73LF7e?SkT9i?kQqA!O9jpafx)Rm$7_U|pf zmGy!%f+o|A8!>Ded!?xbG=Pt3{}1W>jxh>lZe*7P4+Ibb zH!zpc2>}(Cpx6Z#20}(wNK#Xmzxf1|0YR5i`UHvrc9-4y1Q!)HIUq0~QVKpk3T19& zZ(?c+F)=qWmjMt26qgq0!Szfq~`_JCKvK zIRq#K&;wclf24ty0B&vom!O~^8a+S?U(^Bu0T5dtz}n6M2#``!|Dd2M2Vjy@)d9!>oq%9- z2Y|Ypg@c_XK-ta`=;R7y23Ui@0Ed4a0G6*=+Wk$5YcXoF0`sX(2AFuz^U{f2h z|ADy!!T%J9>F>-izlt!o0y#N&0jz-5XdJ2_f5>Y{0Mq|lm)Za4n*2Y|;{TBb|Btly z|F60K-lPBH5&!?b=YOY`b#rh~HFta+fPXC+!0VQA1t%Y18?~Z!Fe`)7U+P2ptc{Fl+rRo2cEXr*okv9twPn>)N#>mR$$ zf2$I(gPjxbwX6RW6u`#C$@y=Xwym9|z0=?F^Zg3~zAnmtQ~uhhe{^%`NJ>fQ%d-4; zyY(MW_177IXnQ%oiu>QWsDP~g^YE8PQWE3|@L}WP5d^UDa0&q4y{=tB0Y1O~CgeXn zT>o`eF^7QdJOPHUd2@38ll}kx{nyF(f8S_ioGd|Be}_g3V(w)1I%ofB_zPRQfx)ls z{pabwX8j-Me&CP%;g+o84q^CkEiJ-m1(uSSwT=2bN&V@g<^K%1#fZ765 z!dC@L%^oYl*lR|Go*TTT_nn_M72j_4w-Y)rwj~3PbIAQ@nLBcEml&D^Shj*We@qH7 zQxEi_eNLv7R}c*NId!+zhBY)zzCR*Sj5hIrQBH1{18LoJ1M%suow58azg5Q(G~?93 zys6CFKVV4My}4%W9_Z`sX*)yg*d$}gibJIrHYf5=+NVy0c$tAOe_B2x-n;WFUEIb5 zH{#uwvfh>3KGt2a2`v@DCOT~jfK5#p79NT%(M z%47bvzAMhEqDah`?jM-?`}mGa;(Ay%A|yLTOm{MH6m{eCB&sN*F0t3LP4Usveo#6b zfvGRaDdY(fqn*K%b!?N79m-#=UZiH>K_T0*01ShW)G*e+oyGeF1Q8 zj_VF&Qb;I{#d_R{o~k#qUi>m@K<7}DD-$prQrzYTLKRwynGej&>&WE0=1PWUNmrWL zceREpbB*VO(6D+4M%3KFAIm&!ebC8Nb%T^aEKfJDjO@nq50DT}e>x5bHXFwoR&pFG zuxgP;@Kliz=oUPaEkK8?e+qTehVgLqt}JYs4!u3+1uH^ujxym|MlRE{78x$r5pzi=Z>UazusG{cjQ^T8gpmrqD#Hc%t*%MI_9AYA+BLu#sl=RE7Zxa@* zzJABJ5r**M88m87st~q~h3PjL6s#jz4ULBjR8Se3zF)&qNql;IvU_!glv?z|kY*mH zTV*_7YJG6a>^l199dVbu($20ye*h?aRl0>EPiRC`P~=isfB&}yWJm(hu-j @y>M zH-B;R<&U)gH@H-zeo3rKN2Iu)I~juUk2f2(y~d0m{TLmpWpkWq-YkPEc_k6*0V3C<&QadJ%3D znprWcRdJHEe+J2|8un}-RBI28M+Falw#LnL_CS#l$GNO8RaB#Em>emnHi#JYRD&m2 zX?fF{icFUdNQ+Q-M4wQ^da37ynr(Uc7wBD|<8B2Ib5jX=Nopbo#&*k2@L<_4l#NpS zN-a24xxctjpy13WeMInNs-qK^VCQGFjWVX(7~sT3fA%0*w43fGosdbD?WUn*MBJb~ zs!0k+W27?qawxx9)?q>c*y8@A19h!hY0gZr$9{+kdV(X!Dd3P(SPD?)=xB5(Bl6qBO_-6?1z71DCK?%d(48On=55MrR8@>+t$M# zv0(ek}8MXp%r#c_@dj|DraGAjh*AzpDv2Fjn7)Qs1LPnfg7 zVV;^8TfWIgl&PuU(CLebVzqBVHv6-V%@{GPfB1{jy?FeI1L+tcfK-r{NU5je%UdB4 z624LEZW#Y%J7A;ap9jJAgAI}lk3KrSlMXKKJNNzI@426a9&(cc(hY~2@aZg!2W`aB+n zf1I5I)UZ*4@U_$&`8TKI1Uj<;xmg#{_=S@W9ML?b6_XM%9@aGcY=she6l z3VeL?yMGz4RyZ%Q1M#YdqjYumCab+lf7qUeG4TbkP8m577S$wHyc3F<Y!lws&^Cd(V14*T)TrJWm`-mB$fd13sh+Z&9fP>Q#8o{3!S$)XQ_QUY;# z5EEDzpkDKQ?S~e(EW#i*=&$rEAE8bY>3nDKgq@|BmX_CUURjAYpHnrKMO!z2ldjq! zvx%?h(mYft@^P|f*O;!AZ&>Rdf4};)FosGxZmQ0%890c!l~@I2-IWm~z9DIcvz(sg zm8alg!FmJUYbiV~A`SE_+eT;4X16||cydwuQ*achd{=16j{^TIHl&>S^3c*eKFr23 zfkupr+?YxPwX2h!vc@}~myCPi^hZXYP&h4$r8Z_3Uazs|AK6a>Lq2yse=U9Ho8U3u zhFO*>u(dWv+8PUm(Tm8toYCOyAo&my)y-!41~093g3oyP3*zs@4doQz)nYcw$pn(h zb9mIfmtAn`8fbxs9aP%yTc)d;zDV$NVkTsAjLbU@FOhHVf-f!;1*5{LewX>+)cH5F z+l1huz@o&X#EGuq?OJu#e}8b4=wv1|Y-`>~vYAhVd81*@_962ta}3hSaqPh)8uObV zU2m``pj)`SHF85dw&|myi#Fw_Ur(_YkHjCbA`^|K8w6r3Lw(A@40r^E?(2GAc0qo0 z{WIHDjCp}jxIp!&pP`%b#at;WQb=FPgBy4xA++sTvrNb_HxQ+(oS_aUA_|<o84EGLCZ- z+9`quY;Jf0e`#MqJ0Xb~I_ubdXE%t=vfTzNM44K`$c2kI+I#vVjXcOZ&5R|#rSzfE zL4AEJA-oa;N8#%4aA|&pEv&66>FsGA7;JYYOavM3J+k~X@O3vT4xjN{74-Q|xL$zZ zDzdUt%Y8O9bN^_ht!y&dWyoWt$ zJzR`-_`?~6;D*{aAASI}c8`Q*dHZ|4o(AcOLHwwH71s+Qldfq>Getf881DupzAz8D z)cy-ryuF&5EJGfWTz*<BqA6U#is5u)FC}B(XE`IFtCluul4W79*z&(a%viMxJL zV|3BI%N&76CQIiWmRsuLDNHCT@NV9qSozBn-Sf_YKAzL?It#<_cD)gd&GUREd;0*G zl-po(k(n7ga%(;+m0Q$-+EWU%P2JGAYD=Kz`j&rV3$@&hDLQJr0)vHQpNrwcf7gZ& zI3!Y6QmqddhRscTvu+kVx#u~}pSc+vh&ztEf4J3F(#PqA-CPiyOKeTZ>;ev9llIb` zvVo&z0KyRsOn0i_?O>!~M zfz>k9%aUEffJQMjkB*nmX;c)Tjll$wzO=Wz|eRQub583e{YJ7+CF54q(F!Mqs! z(;$2j#wmh&;H`JMnSx5@CF(_DBC4ubao0w@eU~Glf%AN*|I62l{CR}$Li&1nl=)w; zE~`$zuSmT}!`93+S7L^Ff1(QT$k3^-gKKSy0ubXCY{l(WHGn^*{fP|E6+R+#WUW&Cj^fMtDwbA60dkpbiJ=l>mO#O? zbhI|7@~x75d155vf9Db)lfRq}Th$cDwxvE=lQynO^GZJ$5qHl`P4vBO?JSTk;(mQ$ z2C0a!FE=zxFwo>)9=iXhNWcDhx4ajCQ8?i+7jFemWCJ9DYQ#~QNNeR&QX?#|E(V(3 z%wqOU7I@b7O;{L>^)y$IK!5yHqO*;%#6FwJ4#@%b@50zce|w)ns|kV9ClTM8bDBT+ zkri3fRP;c4KZYKmsT&vO^H!pZ`?#cak4+0$LHKla4y<_DP3zX;s1+VB+i#?DmJjZw zwuPc!`r*7E+KkTo+ZLDKev!xiESLK8v8O_>;{q2pTn$c~Q{K+HIEqOvH|smMoYbaP z1c4FJ(u_Nof7J1;&x|+2d(~?5YnRxVvdc<*=a*!yq3_~aW-QOc!yf?*8~#gms*actk~h~xw% za*jw=I2?4^ZNk|s4A!lW@ZOKk@HD=R>hKW?=jqIUtSRtT(vt*!_u^48X|8mAv9oST zc-yHcQ$=FRERdlT-V;-Va$q6Dx>gYrrFQU6em_snRTfs6`QWsaipA(#!6rivM{T;M z56e$de~jM+w=!Zf^$8(cPgqNu>HstX7Y&a*q0i%sSk~VbYIE_2yD7vce4dOBdLjq= zrnc$$_}t7DUMf=sG>B5Ik<74#TL?jO86{I+AMMY}YxAiXEkei8k?H!|JJcl_$OTA) zN6k8Cbi2$vBEwuyP*C-e<>CRKCgrczecA&Qf5vtUlij9b!M)ak_AX3khP@?-&!+e! zwpJKYS6_LUH@}Zhz`lG8t$=Bq!vYOUTDt0=3PQhC7FJaFs7+%oE@I(0SKUIH!00OFg^trT-I&Z{g(9T;k8s=B-XTbsFc`}iJt>}YDP^-2w?X8MIacu ze>QhVMsdz&si^STDc=?Dz}z?ClFK=EOhr}epvQneOlVEI%z)IboHeYY0~g!D44=XK zT<(4Ao_3Gw4d==fyvnlLK>WbB^6;j7KUvXsKC8vZauY7FaxtIYh`2QDphPi)F23jU zwOU86q*rw5VfZtRJ6*h&Q&L|-JNAVLe}PLolhcR=-MQ8ecgNP^pE)4=y1Ve1Zd^qr zH!qq%1-1CcFKBgoM%Oo@+X}OMZs4sYWU8MNsADrxtsI5v zJYvSVPj**w7X2O?iQjjdK}#^r{!N3!?E&OD^%GrM7Vj*cvHh$aufc@yt)9g`%gIyW zg~R)sAz3QTRRdj-Ia!eo?bB!vf2X*SPNZcuEw(~4;OlWF#{>%JKD!6l(=)-69j5Qd z``X@@W;e$6YXU+DS=D(7Yx|^kd(Xr!x#4V5*(y3unWijf_@|&48fAR_-YnZ{8j?Iw z2nC;J=|}6CsyKzZaXKHknG+AV_-wC@Y{B%Y=|PFf!>;Q)d%)ci39F^je_Dcb>9vrL z_;O3wq^bTLZ+noaQSzuFKMTd1NJ-W z!y(}e6UyP3nk2vcK+EROX;TJI9V?ZEn;8K0sxbpyCFNXEn5P#qP4?`Yrw{G9GlVRY zl^=peSnXj6+c!R5->Rtwe=^)?A?Lv%EAS)-wHBVWx$8>IkLdt9D7rN6cyx%CM-=A5 z*ApiX4J*fpxmWUG^=*;Gpjo^(lH*7$H0*O7D)l#;smwtjluiN; z`fFn1y_ui1XkYI_$X>jj9!00B$Pb*G-w-Til94Pp?Q}}S9$40Ie^`Dc;UQOnPO5yS z`*0sL{r=|GyN65&4agK|!B{u9FcqIltVNoKP_^Q)Iy9Y1#&*f1a3p@nj)LnJrC))X z)6nh3z88VoYo5);ik5(&^~sNH9@AjmZ&y&Nk)bjdYZ!;$lSs$}7`-b!b-GkP9?-EJ zNkQpYRlc~)+Eee(e~Rd86H2cf(>@~lL_#~vddO^}1)p0A9cpt0vf8?oklDrRIT9%6BsGh>v zBVl{;?`ND0Ytp>TU9|EdK{uXhWH=YOm-}4Wm{{H-V>HB!`2%AEwn$j2d=1^D(p?nU zmCm+HV)t8;z*hJ=<{!Mlc-pzF>Df&DlUK-OnTCr9k}aJZLak*oSfYm|d;+T7-p)hF zC|ZO@<{ih7e;0VCi>!kP+HK<9D&xNWZNgx!0au#b1&pRNky2@X4%h%#b#og)<@$2C z=%KLsl9waKvxYl{vI$}6^!`sNKRIZ(CK3ErM~mfyogAAdD-h~wVMBFv{9^`6n?ctL z2N-L3k7qOfi-8N=!Slj7UpPa-Rx?%ia9 zP~#6?fAGxioG92hPh+%)rq(`E`M0oj-7L)GQ)Uy5#*~dykX4nt zN2X^DK80XO*POsiK*3c)?wB+2&ia}5&n+H!apwe+fz*(p^rAd9N{{Nt=o`_`ex%lz z>bfs8m-r-e>U)iYCx_)3c&m)9NpaX*H2ST{qeH|aTvx`+0M08Bw_!w?KV0UJS%?fLQYLtoWpy`) zJ6OwB*TQaty;(+fJ}=j*BrALW*+ZOq(wDoT&r)gC8_77JcjwEKRG0I#1O4xp-iC z-KZ%X0@wWVgS&yoday}cp08)ci;;)6iOJ$PD!-lERx|1JBV<_+o9{MQI| zm7zk48_-m%&lKqj{O=*_Xypb1amMQWf0xp{!%>ymqCO(pDo)#oMfY}*9rw75+zMeB z{;d9wjM%Gr=`Qg3UuepAs**f>h*7=G=!YlXMD$VdDisXJDv4D?*%tkCs@1N$g3Gh1 zHghbbuZhWxv`hvn`jSbKj@dHa3<>}dpluQ1V|W)jO>&wjDhWZhBNW4fWax>KVqCM{L~HxMm0>b zz_*61#cyzGqWJ7szU`gZ1C)3hY5epOxLbi){_@9T+wRE&2L9-zUMYRB8$&nL(n z(seN=DhB#ttS~wJ#AL^6laG}`f7gs0{b!O-B91}IR(V^WbeTZL!i5K6J~qWjN2$oX zVuC5O)S^PPD23~M%ggT2@V!$*I0gEf=~51{Nqi!nTTYcXwTNw^lZhND?F@P|Nm*>Z znyYL+#bfH)nVz>!U;}6+wZsVaydCYQbIU>_DyJFxhKzx(RWUpdsF>y z(uQ()Nh=`137I`IjMG?Xt8igAFYSbhDnmtSvC)&TOKGr4UDRSBnzGzpJcDCu0>BP}V))n+4f6+UN#*tBlkDKQL z2bHB^I9iM-qVnw_a`fnd*@9Hi7{#s@o2(bk>Z3Fd$uqP%${Z4u6*_su9tF?|X{x1+ zL%NGiubIp0_>J0a!APtd;dDU%)tA<2)Tny$S*a;d7cNT|R-Cs~sM!k-Nd>$AG{;j$ z2}op;oqz02@k(_T;-pOUfju7hlAPE*$+SRU z%?h^aG>@m5B?|Up9@*9NvS`S_G>t=b4O5;E%Q<`C`IH7Te|F^^+Y5_hfiK{5>U^ek zxFV-nOs9k^Y|o`-&l+&N1c7BE?fb%OJ0Jc2pbrw^=X{kuf%Wai>IqA3;=e@o&TAo+#$*~f9ZQWC6Ryy4~- z0?=G!xl+))6_Gw=E<-z-*}!M<-YB@chvIG%K>@q@g}Vo;nNX^aU6$jRMEseY%~Vv$ zyK?yiWVU+TN!9w@q+^@5hZ}fr420Jv_|(hVpc|e+?Ibq-DmLcwk@G0hYP&q0(k(O# zzjEa{f7s>|D~SD{*3um#h(?1?jkDw4P}B)c<60xK<>j4fKg7XxGcC&w zWF#7duURUp(|pTM)+Q#9xZoJ1kSf|y^RMB1e`_xH$eqw06)#&uoHcuExMrB(7{^7Vc|C2(bive)INpZ zAa-cETVQ`*?E{TtfWBmQ#PDolC)}gRr6snaC+MxX#`!UQUniHr+R z7SHFT)0T!YE)m{Wb@yQDrKH!mK6r(?#EDW~@=~n3*|{d-3eqx}vO2 ziQFNJuC^-;sFpoz|6!5DuKU2=;AI-fHFWbGFmyyStDB(u1|`|tUar=~VU1*gZU;L@ zfGDT&4J{ACq_-Gy!8=K!x2|xYMgQ}M@kKs8=*~_2|)= zSXr`h3~w9WK1F}+qaMK0WeBeHvaGwmbA3R)$ZY~!IGy5+^atosN&!V33+cIfnE>Rg zO1hJT17m45_=J)%zRI8Y&}X{ifBEGdMNrzo~6%;uqczE zpv_31{MPTKo3mk`A4@jNC`dP#-AdXod&hl?Q=@h=oBANMs7CzQLf?-cadL2z>W&Dt z=4SKC!-gQb?zf&i+DSrvq$8S9#e7N={~E z^W%3aaD-Q`@g#{i+R{$zXmLby!C-v~;Yuvb^qCs5P;TdCJifllMU=1yiKqnBj zOwVi=`8xTtz~1Duf6;9RH-^RUlDeb}z-=d_F84R$*{$uUL7k$`z2M3Ed!Jx`_FVjiK#!{W31_H#|dK7H4P^{lkgt?y3@#XxO-r1cuzFWxN#*m$aj zHMfvTe};lmBllSH}2zx%m7&!@>@07kxw_p zIC3I~gA-^ji=Tx*aWfqu`#-i*=0sPw~#{)s>t=wyG` zBaqRGaC9hnf0>M~Eo_0Vy6#+SkP%T4bAZgCdXw|&5lM;C$zI}K_s6I3V=sNgPw|>T z{}RcAQc9jK8ebJ(FQNL*MNC&kX20vQTP(U!o);NValoA1{9$T~fNZA^q;i z;@Ng?Ml8Q9_1#aP<_~sZ!Lt&>rnhzL>&(FgWp(1me`QPu&X!x}eoSt!Ns1a$(%TSb zsXf3pHhZI9=P(6^6~64<;Ij+jpybiRc})B;*ZVdiWEIP7FIPA0W;pkjX|) z)lNFt$P8?blyBroO}C{}_1AIB5=#z2kx+m_`;={kAY~6ELnEw2vl60y6*rS3KK1Jx zq$#HGf7a>R4!G&Q!Ij)8hC)RQwT%AIW2`!3|CbQ;R%-t}=atV(4EL&Oh>_fCdZ|l|%JNW0!?FHbmG2gV%u#Gb;K7Z)Qf1>^rAXpri_zp?_UFA~mFYcNfLU)C& z0cnQzy3`TG;mI!~1~9po-JK>j4re=a^2}b_YT_j%cKG7%^C`TU0I@oNxvF9cGuy%- z|G44~a;Hf4k6enk@+SBx?acFA;rqsR#og&(R?Nr6(U|WnuF4u|!*P7zUcBMn`h|0` zf2^i^^jkY8iI+>61Y+M67a2|f~QsFrfp{_gipn|GsTYe{{dKk$O1&)1oT$6bd9i7FNbe`}Gq z#FbUyNAIVT=gRupV3o|4Qth8(pMic=(U<*@VF4!^mbb*i;K5hduV)@quKRpD)o_;6t?UklX77!;ac7J zKKRK6&L-;=rSD6>-8g)~S8F(8f91PExQgAiUej|~z5bdvH>)b{3P?CVRYNtXDdf*6 zK1>{ZD)8mVvFAEwi-GraFIj_Ncb}OhukzYLps<6_x9!@G9Ju7*&?uc*3Oo1;D1J)r z!<5~Ls+a2Z40oww5TP!dJ)All(?klvTD}R+4=w4)WIfrio!D?D zaFv2f;wf^f>No6PXO}Hri0IP&_K@2k*O)=fqM~Aojs;rj%&ivcYulpn1~tG-bumgw z4V$Rtra?3>L_N}O`=T-RY})Jtif|tRwnq0&wdC|xzlb^ksue%l_%}AZ^-|nFbi?Sh zUY098celkd2;empFtlI>yFSy+e2=Ow?{2+ji2iZFD-eZQFL9*wz!LV|8rXwr$%w z@A>}!8=O&9O=_~Qt9A|6y*7r?jkepk09M;!Y^e~8^%=Mj@=l++SoqB#Nx+yGUEq_{{$h}o9LGqnKJLI@NY0vBdMr2DN@wLoWX&-*)QLA53%vXFG zlPmHlbTu8a5%`-KWN};hJGKIIsi3{@>jXKb9W7h}#5&FFTv&Ca`Ub0=Bf6aSb(KkC z!=ALC8;O)1tV|rhGg184@3kc08F4#2rGCELV5Dj(+!7u|f0mSHv5 zufo79SBlrdL207#wVU1==~d-duVIwBbJ6dez%~o+#J2|kHinM%EfFBaF)k8&K!Z0i zsJmQP&Z#F9E%f~WQ*e4Qkc+fwxkIfuwbWgIETcPy@x|Vh>Janaf|}_XL=J+bTEH?) zB|73gA>+}+6SV?h#Jt`C+sKp3*WvS9s3o-9w2{uaV@Nw6()`7gEG8`l!x$`Zuz>ZZ zqoTO%o6;D-hD4YiEj!~LsO#~orY5!!c4@3mlx#+-6KrB6>bwZHr)v|d(^J0BcPHG5 zi=(6RrfpY~VYA)Wb}^c-UfhsDX1dcJu3g=~;cSYi$u2t%x0KIUt ziGP-a2^E`6xckCV!G_bQ~-( zZ^V7^3LjnJQYjWocC_2kRp#Ack^>D`L*vRO?4~xqv`f66Hj*_rha7YqlZ5(+ivVOK zW$5sCzx}fq_$oqw%cPtNeKJH{-&qX~l?e^F+pGocj|yT(0?tj%>ziEbj!4m+-k9+| ztL%is;4GBhO=HI-PNVN88tFv$=#(TrV(Cn(a1pMiE7eLe2;SYiS5sRuar4-bQ_5&p zp;a)jCMb8)!NcNtM0H+Q=z4E=2o|7>3@@N-pQ7LNszqRa>93Aji8a~r5zpfsEI0rp zQ-Y_NB~`v$@qNO_zx^t=nHU*LvnX;djf-kbh7<50r8%N_!53 zn~u%6janiEemrHvZRX%pnrY_M)>wPh$*Y-=jHh66IgnI1{1GW4jxkT1-kWxtVPrj9 zrz_oyv}eK+PKZs<)FLjshS+H^zdi!g^Ew}d+g@CzI|S|ASWcRov8t{=|K1>x_j%%a z6=O!OEho6jF_zq0RMNmrslYUN^c%kB?~?H$Pv6Ukxwzj)YK?p|&f!hDYkI5Z&$Lx3 zV-E{`H%bHU3AKG?-@EfJCBABvx^nnv_hYW}ddg9X(BUJV_5H0#iexBs-RuEO1aZ); zK94$CdRniq{-E=tUpQs>hc{~-nSaTQK)`n_n9vSgO(i@J`;#CUi5Xr_oF9Yn#c>3* znEcb`5QaefOtW;~NrtRYzRWZU-=2nTHh$mLrFVvl_U?|sMeP4W8cwzjf_$!1Q`+%7 z%X@L9j;jIe^4+#Von<#_?H~pe0naj(XMy**tn7k(8sTC2mn1k)|C+pKC9o;8NF^_` zLLVa}zo;3k?Kd*)=qW3}3RLRF8#G<^*Q@G}BrrJ!LpvRZ((#T_ z^)$fw>jRj*jJk4Ln%G)%(x+Y3GM?dv3m0E@Hte^SlJO64mwfv#W#s^cNFgc{4+m&D zl!Q^WeNek7jAEkCF645qcc6bKS+0DhIpIK2CL=mc6^x|kn0A7gjTjJRk;u;%i@}R% zOV8MBFR2-dtS=%-+|F+=1K){bgc-PZf>ZLEG>d<*FP6jQ z{%@wm#nsu&$nJmAEl9`^P(X`Qa717i9V7{qny`qFra16`4srnKz6Oa2ZNg;YVC!HH zETxBJ0Di7PvH;)dA^*U!aIyUVNf#jEG&uJECvg8S;(|!P#ly-5$0TcJZ{cdmM#B8R zTM}+A4rbt;9Vj)RO4Up=TQ6y7i$l|Lb1S%`13HLncGmOYT0W=)oxD}VF?_dAz|#>X zX;T^AZcx%SzLN$k%;$>d3%&I;@hdUkAjNtMYJ;A?A2M}5kJ~j<(ITarY zELiB;Q2s#-1W!;;MN3QaoWK&4gy60e8i-e@zwnJ9lAu!n(ClmwBv4RL47`A%;KEY2 z(Q!PmzbnhLW`@Sb-@v05_}<9};!&9*_|b8qKOgsdP!N3F>!4S^m@s}${>YJ_fYd>O z(F+-p{83huGtd{q`omONfS3Te4xVEWrRc%|9y|#uP2%#m3qAqV@N5?WU6OlX9Dtty}F#O=qChj2&Xs4-Ka?+a&)!;hDJzop_DI^rP zX1Av{cY4P+;~Da^@I#QWtk5$f2+V);#rc4^D2AZU04Inn@9snYUoNgtUx|C?VD&BU zKrr(Fw%PH;H82EMC$D_3z3;6Yz!K~$@lqBqZ;-%`DBtJZdnpvkAS6t)so37G@0b;m z*O(n-y@b(67ybQn8WIT7bI&DKb=*(yX23K6PxQyf0!LpoU7LF~SO663j|>JUCiJL` zG|1Nvz;68CPus5_Xz@>C+*^QY=TFbxx1adW0oo7X=3%GY?7wd;rb-78^7SGSw6l|y z5rm@m#slj1BOf&5=RbP|AIj>(4#d}%680-_c1IU5@?C({(&C)~0}o9__hDe35REVj zZkZ1il&%9#FW1L3^=`7#+ycTIEcDz9%>O0%R7{+-qM?_PF9hLV}@t{|hz6Blq?X zF@o&w8lHf|+&aE}XJrE-xWC=hIYYUF`9YvA|6N`Z1OR{jGrGHZzi{DM`M5ww@5mGa zU|E`4Jl7fkc>V*&)zi~V2VX8v2IJo&Z!^Q-fkb~?Qi{ExUHVY68Yf*P5sj{Q(^G^T zxS%f$%S@!Y)Rlz(q>2Cm+Jjty&dK5Dipyb-c zFhvwVpYiPQi5KiqvXo%G-xKX)Ue^8r=Akxq^xbo|rdZq%3-_TR>LWQBEs7fQ5I|M! zAwfMCx#DpAkIUU|?l$W1{yJL2A;(*y_xyC`Ul8Ns5uCOM{9mK{xL3R^nWgk8&{r}M z;*Q;^yMhbgYEkZ;oP)zFZ?w%9)9bAx7rQQp*g_{yDsJxg&M22|LpI)NwdMd&cg}nL z6X+83?>WcjKcl#NxO$NAVZ7>qMuDADgpoaWK%vB6zaH1~h(_9B>! zj=eVL5jH=Y+Y=PzL||#xpfx4{w6?kVhj~k8`$?v|1GpD;su|T3U5$&{F|CuXh||-8 zZj4;?6jmKHp_a$H-b5bdP;JL9$}c)fBt{<#S#cVSv3LxIp??7B*9~n z@=X2xQ>qC-zx2<2^4xtqmn|oMIZd2jQ~l_EZ*DLJ-AFqSA@97^AR$&&n;o zHykSk?_E>UF?G!!t**C-qVX_>2zU|LSsy%omR#SZ$yz%ylIR4G^DEv+V3!opf?*|J znQ`)zq6cz60$bzGq@IK)`$ErGcI@k|_u(?3>m5nM!-%rC7(@LyP8+4)4|-8MM-aQe zD`2e|Y~nz{ zmq|c9Vmy&c>-zxnyhti}p=@zu(dx4h5Sm`kkDoU-V4?U`_ z{clRR_;V%5bmpWriWu*cWgzZ$zEw$an(DGo^n*tqil z4Oro`@85s*j)Luv&|ofX`egMOxa613FV&;9e4%tI8IAyKwL-~OyQ1SS?GNQj#39CI zUDmPYeg%mom!WztU?fIE&heg^#*p;_7JCPQf~uQy{>=W2t4WQ#{d8}5I*CGUP5E0h z!d2N{URO{cL-$d4*x7fSPe3Z6SI~0{KRuc+Mn-UdWt5^)?OtyL zZfxptY&XD1h(aZSOz4K5Nx-qfCPmcN?JO#W%#X!wXtf35B1&7TA&lha<#=+F0nB zN2|}dG`(m(4}A`xfK$yBzZnwo=57prMJc9)Zvgl%3=h0}<=2z3D>xxcctLZmj;RVd zlu43UW6C%9C@)DX#~!rhMeN(JLGv$=g&MxDOpX4h}o#O$&YizA(<#XAJu+^gENdbl67gTaEOl<8-!sC)pCwC>#%yR9VZ~xOqSed6iJ%H<>BI zu}MeaiM&Lh+F3pSNX2iA90ci?TI`CwXW>m*Jy2=Tys$pF5s>*L27~JTiTGLv;NGhq6Cz5efYYI(dI8^Yt^5IW;pHMcwU+$zYTXC zab3GYAWH6_*Aw0)h!mnB>Zq8ZZ6>;QKOeT|l#-NmRo!!f?hu}8Z_9_g%h|U3dtrZc zrSU08rd)Kn*TvkoSJ8pAtR#jJ;%211=r;*iDdbV1+rD8IbkhUqjny<>IS^l$i4wA`t%-hJm3u~E|kd!*Zmq1RMVi_Ck!m#ep+ z%`z6fdm-E-tgy4zcab%19D;eHia`I)mMK*6=2TA_L;2h;JI=u`f-mTOQGhVcuR2?Y zs2uI);w7`1@9?@IPf4uvKTw=Io^BXGSU5}Y5`wP+WN52W;J>?GGV zk8;9A;L3_kMlH;eEx>g^MK-x^g9p8PGmdBrg+V;Vw14%Uh$fOK$NN7?3xGIEBNN<9 zOSXk|OVO{UYD#cGMWXG3XRW@$wJ^z5+x4Vkz|#V7lAX3|tgg{M3V>~#`R2v8aT)2tV<^o@&6VkkE#_7BfRP=w$@564uwuK!-H!atsNHT7zc zfC^sg-l*HeFv^LDAAYYW7G$nTN2u~Yqe5-obM+;=eZo{V9*PDa2njL6QPd3tYhelL zrqpZM7!+X++ezuXQny)aR3URHDB~=;3)pHVsc>g5pjT230`x@o%nySK?UKsVLKOR- zhGUfdRyw>(DUGFD`z>6wB&+lhevwT8wl|@ul>~r%#7jNi{#;$?Aqv0lymo}_%bcd7 zN$U()x|I$ftY-V$X_{YLpX3g>g`<~1V!yI|EYtW2$ash6_!qe~)XXehRg`+1H?pjn z&JqI{J>2~I0pXDw7U_$lw;-glx_%+ZLo#$5_b!{!NODevX23?Oj7`Zf= zYZK&N-5B|kzoWLbv$BHtjnm6<`G8PcH8`Z{RF?W1SqzbBOC%kX7P5Mi^>1374z`Gz z(n+p_Q5f^mO6AUEtD=bBA?)sh{=c>LYS=346tH!Y0dv)a1_65g*}?B;L`4rySZdo=taCf}Ew&Y^l zr@H~&+Iv}8oGQg~M`}@P@ua*TdmLu6E_$5BzOWOGLHJFs`#z0VlgYeKS*dHb&;-^x zOl~YsfG&MtARdh;Tz@zT<0PA={B;(RYA8C_dA~6?>QhWj$KOeNMXQq!5{vc*Zv{oB zcoE|ev#r{rR#*6-xc0wI3ygA&)&XP(aQshqqt9fhYQ%b`!C2~Q3OK$$;qgjeN1s{n zrbOh?kG2LX^Lir}MtYyjJ=G9Wxl-PURn0;>fbjZ7P|`BsUUE9kT=Q3mTe@xr0d#U6 z6HuinckW~vNsB?C1UfaH;A!TtfRFtigP)@ccsc?pb{6k$YkJ=P+wf^o%Wy_3N4_)7 z6AprBE-TD>9o7Ikn$67IcWB*O(^2Jm1Nj2ed^|2RR0q|m95Q&VH+d2=d#obu`K})b zfRBOkA6&<6(?jMva_>QD362QU$9+QO?x4%fF-@51F3r*Tum@}_#SAdu-{GJ&)a6S~ z#4ZR#TGKvnrxB1@w#i3{-+oE!{MuA+`Ti)M!+OxiUWe-`rlbjcWx0T)5|`>EUh^I} zScfdyspdefALK}2Q~r})2iiO@fJ&bT2sw5-f06YUIN~h&doz0Q-c{re|5uNz$Q#qp z=pQOCwYr8hQ7++8qQT9<%6%`yDL;5%bpN4UcpI2H_kXgQ;bxYhGBVbp;bb<1l!H76 zD0$9}hBo$RW5r}z&Z&wvZpghidQP0T?uWP@%FeG6r)PgxZ4@e?tu*6I)I4(>z%fa* zp1RDy4XDhx(C!6688Chmgp>@G) zhq@(0cbQ$mzoBvXT{!ZWz9NJL082iX_^q6nWKI0se35X9Fs6oX%H$=doih4$#aZ(- zqlFry=0nZw4tcXEb1kqAe4O+bE&F})F8g&6ioxp@%xs z+}(UX5*1hc%SW&|WV{h?AeNw8moz(*u0`J`x!qEw7&Xl!{YM^}sJ)CC5L`Dn24bzf zP2$%$dF;}8d51gTdyFtl9pCFKw>WuZEQ?0hk1@GxyV<+8m!aJ4za=Uwb(!$yZ&atIN9yeJWJ2<`Vl z>B@GOU_Y%JVz*gF-vE{a#6(dFK^;phpxy5J(()q)f7>UuXg?#+LOMJ=!S1i8zinow z?0PcUe^N~g5~}mDdvT|KrGO0Oy3hWWfq$Wbw`P|VOdT57AuF0V!*{XW zwI0uK7RMcH#aMz$QBNc&K^vRgZ#Tx`P}yTy`lBv^7rQ;W68-J z)kzwl?6yG8j`VLiyT7CRw>QJ0e&nqmhM2+35?KSV)dZ$3dMf_~o8hq#m+aG%9-|Al znm0rH;mnL#+LIRWs%z2tdP)f;p2{*msG*=T*U|5FFrx6p(8mA$y^eXT0VlUo-fg-l zAB**GzPpSM1_o&qkRo8*OQQ>G;7uv&*2u*=4K|HLHWenxFE|a1`ruIzCmJ?h_2vgD+32wWn;bg&2A;$(m zXl%8h$~`u%bM!KaFN(Gr9wI2oWCiCQk4P+c%C=1Xo-j%dNHS`-_PNVrIw7$rC}Uq$ zq7y|gfZ!x}E5lIOSpR$7bhV?bMOT5Mv>xBtXH8eu|5#@alBVFFB*7e->z(n zq(Y|JGBD8xfJd~HHde44b?%_zxE$oum0H2kBl|NwWGrpK`&wLs*UggeJ$Mo$6!pM9$+ zvz}7?dusm8+cQm=OL4 z)ZYNWny!wDY%Xq!dN!VLJ8oBEfC)tL29Bjy#%6rIX!$mH$jigu8~9V0NI&b51$Unr&oQQ z4-b)0{be}|@f{lxT%GhI;_JSt{Ah%`NB4Jc;HRXl*fY41KbW{4?QwCh`C6nEj+1eQ zgcC{Bkx_DwvJc&eof#cnyA?0(y04mZ@z0-YquI=jCsQ=`Q@Ah6~*yQlNxkg zzfFzYy}css52a-Gk~JsAoGIlp*@6Oqd4(^$!sS0S-9<4Cfk^=u?@zO>%ayqsY%mnV zlmJQXzn@s6XZyR);E`T8|09vzYyRYtU(;%vaU?mr%|7jRu4;%p=DW+qk|e-76V2pE zTo%lYR}*wnVjnvBm+IJOa{}vs2~e_d!k;3cWz4P-pX2}f8f9|rByYy#%3B)&;g`KE z&Rn2o|Gk@vgnc9W1`|Us;eWC_;pXkh%M6F9hEVDuBMP-F^XWv$p;yN9_go`YS308< z{>gy!s9D3c;&aLP<8RAhSY;Tok>^Llq``~E(a@gA`H4l#U}u-SxBc7i_Pa_WuALG% zU!9n4p{v*~tt3h1(w$)LDNHT`C?!AyTwX6#!LLhQ$`1=x1Jic(d+Jr^2GKP#30pNI zoyy4oH?N8PNELt04+Acu0^omr)=^Nb184SSO#1GQ)_kw+{3rk%!0)zUElOGX5C7^mko=8TVyAosD*J zo|~R^%xuz&L6DH{a6L_rJ+vD=juw|rBCupoKMX^FAS}uNPC#6 zcERvxx^2Vv5DjgDno7kNbNv8#y#_XpoeH)yjJUmN8P@*lKZfIgIJneouq!%Bhl;}b zh$hw9KlB@1#8r_*JtMCJ*5$7y-VlC}~}hX#G>hbC-tVJj?6o1CO4hq<6%z zP(9kd+*J$ug^s*i)@oNkv0TB5Q5leXM=l%saM&rk@lci^4Rcq|P&BL^C6GcYX;>bVr0p4|thSF%J6Jl{@GYBZ zXTL#dB2D&nNHdsqq9HSG{r6}lb!)d$XfG9?LWsv`MKxjpqNG96>yz=HX+1&d@zIqu zj41LB6HCXp94_DrHBW~4h9xBX8#X1L*mgJNn`^wQDU>Ngh8v9r$^Wo<8p;o5{nR8{ zAJ|9Cvd3CShS*JthW(b@?uMouo*)H<=KL&tFFGmm0lUo}Gnk%$H0$LV5_*MU!xrXB z%Xh3zGF54S=2ji+XMR#Z~?n!QF_VxOx zH3eK)Hj}QS>J796s6N0t6d@Xb?2* z$nTqtTEA4XaRb$|NMaym49>&V-{ge@VP<~<_})583aL}QZsmL+v)z-)jfvtYKKZcL zqpAsVlwUcmg~(jC&RaO-kFs#l!qAWc4J6g%0C+XDmeT{B{E;<^^_yD11j=OM-wz{l zXkr zqV9I_9CIenD4FO57$2k5!#G8YqyOr1xS{m|4irKgd5|KWTho~a>v*?m*|Mig9@MTi zmjtzT=*Eg?%O@CcrS4;-qtc~q;$;!$FA$F^RPlbvrUod;PZO>)IaFWQv;8J?8A&C; zj(XhD?J0F@MxvW5zf_7o?JA@D0zqW6Me%@QClL$faP9d|+$OWv7L2@q=5n=&&iwBd zP{ew9%w}6>2Y(RJp{FPBDIUEjxJ&{OXphPA;l+d5nt4Xrw&8Xed@%5vC+9^~UpQCS z+6@*#?4X~znzXf&LwBA`nKY9(coL}v!z0t?9{bAD^VFAJNnmKsUp2M6_}e;x3WN=A z_COu{GGByDD4x*?3lYWt*-M?n)(Kk)u#0(KClhfZTbRyQ5RzK*Kw`&!5*^Fpx_3=f zf&F(W_7{WeN?ehQuETTMViA57^|&-<(9;eEHG3S|yOT3}9)28Wm%$&C)#j%?czKHA z^jP^}aRxXIr|#cW@lN6s^Y04txWe{#(SKR|!8^C#E9(ZX+w$8;+?sf_C`Fwd0J!Z6 z{>4^4yr2+Hc~Y{>x79j!EYo%Q)|>le2kx+AW4}+B2s=fDAW01d+O9;`8j%hRB7FOX zCm|^9&GQh{8vPg4w2W*Wk7qJq9`m!ECJCip#J!fo?|ZdI;dsQJPXO;)l4zQ{8nwj^ zDPE87>Br(2jC~H~13WtlSZH1_P`5zMnlCPKzbyyzB|2Ym=_05;4bSc~K4!1kC2NULfX+mwmH18T zd%a^vo?N6>mrHgK6#Gz5niq{QB zxlO#!TJIyyYKdA`>e}61fWV%;?SLB3WVlNtVn-kI-^38Ojf=#?E zmN=d}hXtb=qL$JEm7PIt$#Y3v)+vf@WJ8->V(aA;G1R`Iu!3=R`;~H6^GUtEsD}ADP{fZ|z$`h!_=I51Jo2%Yd+$#A?`dlL6CT*tO zOpW2oGuMdj^43a?UB|P`;^tM_PbUl#t!7*8@WlGO^DQFusg;t%36{&x2ZB8UZs9y? z#x*~il}hT2)YH5ZfY{e4zb|X3b_3e8tV?3tyd)96BqB+Lgc&)fj#Mc6Hu=|)J1|KL z0yHcWOq}QGz{KpR z;}otDpRRd=IC94G9~v1l}hYCX1cwT}4`DUm)#6?kRJyhCjzEbh9% z_{%n(L}VOJT&-O#Zb@UM@RjHf&a*3+IPfRaZe~9iT3zhe2ZG2YfA`JI`ThRij@VUV z)JEdVAEg{|KxFK6i98br5>75XC$YLBQv$$}-y!mP6d%P_(PCi=tmLgwKn}f?hi1lX7GCr z(ZqzCQ3h3ytRB}ei*+xC`PJSqeE0GXuR0F5O?paIK-wLFY-h!R_x0U3`DjR9S)j-- zjcx&cBzdY~{|$y`lyr}7!V1Z}^qTFd75+DJVQ0)68_rZk6h3_WtI7}iVI}{)!-{XZ zjC!tcdQd6ZC8&%@F59c6KTV#Bl0V+BD0wP(gDEPa$U4dMHhSOkT_JLAzCxgtg%gwH zqW%MOfSJheb58PVh3#@AKFacYUYhT{FbjQ0iw`7RuJ>_0ZUs=?Up1k+;T0ZD>WT_> z=v!>@I@X1{UUqL(v9^isQ{%@x=?K&V`y1997~J{VYpNA!5Vb{c)~O&VFo_$*3%{&* zMtMybGzkxNh1h!J#c(o1HJp&Phf%|Q#Z`)W0K|-J7fZwHS#hx3U6))%@(x@3{d+J| zoH_~~bgncu?nm+2a4ERS$s~`^z2eo-J$m7|7I1YvMy@G=p*)St|DMbO?EW!p4c4-8 zzjQ4AD5bK;_8t#Ad|fX0e!EIw<|k8X8M~gvaYtZ(8qhihrT)LfivtiD83Gb0G4UVr zG6e|*_diNkJTVD188uBhc`G|(Hy0Hndszly2U}AqS0h{CT`>eU3Ktg%0~<3B2@4A| z7YPps8<3D5k{ihT4G9m;$Y%DNu_Clt@TOmXeakBLNcua0!;!5)>#38b*R2zz+kndjNhL6%k>`3VNuk z+qKo_{>%(g*&X)_pO0^3CvArj#O4O@D?U-NmJp)%^R*BB@NoYeA*h##=6fWt{Xd$< z92smiDCZ9dj~s&uCL#q$Wm4GhKd=y>ZGxQnkS*?D;GpM*Brsr*b)dF-o1pT5|Kzc~ zBl3McI>F8Y&SQT-)j`VWU|{?az`a0)s(XGR1<;Ld;Ua|o_J<0{2jTOegSoszGGqi{ zhB$?2dDH7H+*&?T5URdrj3=6oUnR>(gU5h^Y!B8m3K;pPeINB7_0rH1^fiqLDcBE! zy&<81k4^IFAp@wD$dte^hYb4z3SdsazVu+ULFt7;aC57__n-WdJU&PIR)Hdb3tRot z1BLD-G_^RgKY$1lCblAK1ME!i_r&+ki8QRz%8ijccw}3!|#(IO~4Goh6$=VCnE}#Ljd9^04rH%0P;B< zt@m9}CF4Sn>K^cC|0kmN2i5DxWB*&F@aG=o$06?opiI}Flg<7wn;3exMhx6@lU*@@ zclV_l^i!X+2fq2gg~xFB2i^7v!TtW*q5tR166U?~aOdC8;CDe<;HrT208Kx|JrEN? z4Z zK(fLi&z}$=G0DB|;7yOzM|k+l%>z8$fAAE%;rPJNzyQ3b@6QV0;y+eF&=P~>4|diL zcoBR<&?^7*W)EVI5y|G(m(sbQ&2HTTAm>L`5atjP%y@dH6=X=5K$&gcaLJVCi|oE+ zORsbEE5CZk?Z0*(n97&~TE16FdX&~vA>4M}6S3Xmsc_S_ZCCMo1Mia5&G<|fitt$J zgQeDQoJ!N&9^D+U1GnEyJuJ1s<$K}7Ui^I(8Hp0#x4a(F949u%q?*ZO_j!FP0kjQ7 zAbJZNjKQvM{q9X!*GzK%^oHm^fARU4gyjQb(|6@Tzkl#5DE_unuCo|1W{%WKe51OW zqv-Es*qS*Hc%kMZ61FbYm=mfJ2l@hRCa4r4RK+~WLB#KO%ACu&%SZ@aE*RY=%g>SFwooAf73|I3hIH$Ylyg+ z8Jh%70Q$*hQubBT{oRdeFc1$h&TXGiMxKcxQ)wxIzvoQqY^TJy9;Dd0%NPrhh`r1* z))~pK&Ypo>lqn0r#kC8g;@W+&eL%RE?E*i$ImLb3a zui1MkZy}eW#TrO^(pf>qquj2{_x1}-p(f{=N?!aww123>5lzF!8e8l8LZ3Hx}0@I00&eEpHXy)6@e&}X%Uqqv;a^j^-7ro=!QQXbdesG$W= z;s3NPAysFBabgZ+vZ_xi^WOuL#*x3YV}KsEqt6KPPQL+Cun=7~aj8>Q@Y2rj$C)b0gp; za&|Xfuk;V7*xuoUdi1;TyInIwSg7^Mdg}D4Gj5Dhu{${fo>MYYDQ!t z?lb-3OdU?e@*0eK-79X&^p$g^(8=mVgnjQ5iOQpPn zNZugxB7Gr(c+7&_51QKxBg&wWNqqot_@6;qHaK|+V%Aj+9>#);r2!y^-5Q?gL*r~} z0?6^Et%iL=M@!9SY2AXIGv+apVacq_YM~$~V20mAS{|jifZPN(4Y#Y{pC%(0@|YpN z%xdu}1@V1Gj|NKZatA-oqWXwOk22^*b5M;c_bnaHMw(r%FK`_~P6YnXTqcmDfz7*g zC*;liiOS;{Ig%LD><~cHw(`qjp24ow<6sQxi#(=8KxO)sYSTaFl6`W_&6RswTug?o zX}NPbET*u6(3aJ$r{v)gI_N|~TOz`SL%&qb*5aH+?WN3+#zMop^qRve4#_%ZlxAeH zA(+jh6X1?d1$c;gLp6EGElY$`pG6IpAP<2ii>1=DEAZR;?U=y2&`5WR~&DEJ$hQFj*rKV|hapV5pc2gW65PX;_`^JvIw{St2@uhrc z?)|<;@NN7Yx6Xa>r@t!_QA}V#%q< z0qKIkH&_5eWYGUQy}LKrsmXnP)qH;r`Lav*ximv4&G>#E;^)N?Qj~SZh~{Y|TluOA zD4lvOM%J~YeJsS;A(Wn&t~uQjT!+9d0Rl>{wF>1NYXR#ARn+tJj@P0@G~t!rpX*%M z&&iidHfw8}wow7yMzbNt^R+c>uW+kNhZ{pJfrI@BO@`v8BLA}1@VM-{B|u_Dda6X< zwSsIb(=uau1hS@Xl~--3JD^OoVlf!BvgjM46F{U}DcqJV6yD`PjCT=$^0EovV8m1g z1GbsZyMPKxK?xgXFZ@Umgq{?#hDbaJGpX4tE5u(1| z(`D4sgkTne7Tgk`S=H889Vw?sL9%N2OHnG1SEkKk(zhhd=bMtp)l^E+F;#Z{iJ;$LRt^cQ00;zJeQWhV3JLPg(^fW99}} zMJ>8ev>L^%BMtH{HNu!eEAvp5iT4GkFVoXMiBJi>>z-gg!P$F?x{| zOh9E+>vEm1Z0JR}fp<;fUHBYyjcL3-8XtaK!@HJ3r-rm5CXJAwxr7NHLMzlqt-LqR` zVLTS?P~cSkR@>M=x8geKWU7Ch>VfxHcqE*tcP8-Z!gbu|!nj4p_xB`g*V^G{j<;O7 zoT9-+0bbWqs-ADxW_Y8K{Fg2Nzy8U@4f=Q&in5_|TqL$93|~+mFQcbsT~TUzC_v9+ zH>92dL;3HuJQyDdFOsH7wwTllD+-yI;(P4zc$5*n&2~NB98`~1!zB;-9%LL9O308M zwz&gRRL^R)m!rwrInd3vwrynd-xL3hFnVZB9(n=~OQ>D?w_`C0{;6YeB%oO5 z>goe9Dl7HGTsphU_vHg9*uyuK(EyI*&}x2j7YlC>@(BMO7C@KUz66mNt6zA5!l?CmA-8Bppfj?f~vj#7hAj8Pu$-RN?K#b6Uuc6@LNa!wy}($7&lAT3#w zit(=aP*KfVo$`dl;C1GvTc%@bICr!}6&-;O2=O!bu!&WCb zbR{L-3P2lhcX4ULXufcVe_cn(d~Y<&!-@r2VtjoV#2R3uE%;|gV&zD4sEjEF9Nn

_?rP}p$Ov=R9;X2>$i_y zIE>btDzg*LTd(ns4~>dnEf%1^uhs0#-lH)VF&_&{G5e`#@K5DY2uHV7LK!3KLE(ZaQ+-&Tt=jlqdq{rTuzSc;K_=Z- zt174#x-u`wg1-+)_GJ1j9@vOp`Bp)6N`GVsN2`3AK+RblO?%Z|5`x@E$r}Z(?8v3h z3G)Dt=?*odB9ttc^~43Dh&Szc8eFDRYatmZhaoSHo<12*vZ`M!&G!+c$QW@UYT+mi z)x$!RKQ$$Ti&lV7&N zkhSL$PL4YWLVy~>5+x%LR4okhPBR`2$D-`SvYRvDi}4sdOzWUf7i4U)Xcv6MN_O}B zKpF{X+a;boC!R1b{1*$vuSVn=YY9z8xGNT;q4DH#|8vJsz3FAJsgqKjVE)EfidS2Y z;<#7Aa8v-Z6HFlv_d)|MDgk@AOUWD6M@nS7?>Y2%v3bbi*EkvX1 zhkS>VX3*lxlCMP3{Ww(+9g?tAbft|cg7d{0~cde+Wh1gED z)CXV^m>@TeXA;q7i67JFQq;)(=(U!zPk|u+euo*mu@VMKdcN?jg6XQ4jF1 z5HN^AU*#1Qt)1!y8<80NqieZ?B6yKyKV}0r%Epu^{mM%YPB3Bxb$odlw5vtVLTrL|+LXhYN zQw4p)((}@W>H`B$xdV9-Cr9b!+Y0!v%KB-73T;AAmPZVDb0v$erl+h7BYt3ji@at1 zueVDJ|~cB~3q_>2l<1F{KO(7mk1Y zyZFQcrz`&}AYbVPYHhN^H6XE1L*}K4lC7omEX6@f>*|qaV)~ZD9Jv>_h4Y#27&iM} zRq*K=mkgE(TjTYN?p4FWiHmrF^nDlxQQybogZ@KYxjlp^Z+;Wg@pTRP&*l?Ln~Apl zAO$g!n)o+T`B}>x)L3)(C*}Hc15|D7Z@ooQDdk~lX7P_*u)cnJL4YzDuYH=R$kIX) zcx;0RG-EIV(9fd1gPov9o0ZYvlDrxQ0mn??wGOX8DlLk?@)b`m;K=EIZLeiIHD<6C z``s@}DZTGlwZ5*b++7zYA1hJLqqc&05P1i$ht?(MG1|P$fbea7kgCm2D3U9<^tmAC zH+LeFc+V&u8?V$R5P*Hq!Ef=OkzOBNtu7FY{6(d%t6QcRr@!2>mRO}Ug+ugL930=g z)A&^qdC|MQW=a1ZlZ6R2CyA=8BfhvwXlQD==}NP6F>SV*?Rxz^Xm%F0;G^^l;r@tP z)`WTTC+Ka^urtyOBD{)yB)YOK57F+)gOK0yaf#??t01Q7DgbGPvg@x9g*hi!VV`W_ z8vXwPSU{)0V(wgL<;N}At@YRex_IZU0sMm1N2ksGwKZBt)*1mSotU8gKqeM?s$x~t zDrSxo}PWd(_PB z%Br@xZK^B6NYoWRB-9C7`fScKcbeKyQ5rK9s^LneUbkHeRmRP8T0PMq?PI3oPtuYZ zGt0zE5L%2?__!TEH&>C@h&Kg$|Mr~)%A35A@9KH52n_$8plW-6pJ-Q_u#P-O`J1km zGgF0outG(SuCN8Bx;|P|WmCP5UB9FsfI&v9@i>p^F=^h4$YI# z|6aomJp=dS$ji@v_M<58%UT5>S4oZEIr}IaZ2JiHE(rrauO;glEA;K>Zr(X2X6QLg zWl_~w9a+dYUhI9K1J=HwS@ckWyM9KuI{k609dtLD`D=Wqh+gdmd8dC?N-b6ynFZSB z8Pe}>R2~q$O)`wJi%}Nr#I9++tAV?;(EIY;MB+|%S@vCjT_wTH4|?L{1NToz-4YFf zNMc^uaFY=4gs-EU0Ek)|rltVX59qY*X5`a07ln%jAk8bgy-Vv`$h7+BO2Zf-DU$kQbk}5H_?q1RB9>u}2>upQ6%0Y^f zr3sTsYV}Zm55k>NNzFJRzwxm$#D%6pIE(DIx#xXpXeyYJ?vgjXnP@$4Z%L$i-{%K4 z|A=+yYxc;kXFnwgG?FfP{I7}GVMh$GOuL_EFAr4ws( z(4H|5Q!P?673Ad6*UeKm*0E-1hN!SpnZCR}=J-*6wr}}Sb+on9y26x6$Ip2nVQ)OZ zv7MwO`0lJrmG+_Xu7oc?*lRk;jAMQ9#I|W7B(<_Us6TiXNx^7i-~E_MT3*V~mm{t4 z%p6PGlv^(bb>8zuqFO9kI6nD9kSC#w4N!7P<{CWkjDFN2Yi?$;aFMozBxfkH(2$?< z=&kvG_%>4dsZz@lvlG0o+?IXpW>Na<^=HI|=cUIA4_J#|R?X=#GR$cBHGVGfwDoq=;-*|I=WF8Y!`+S!5;1rn& zRt-`lsO|L^@J>B)Z+D)7~(1sB#@L= zqT0CU(JsoyF@<*gBU02o=!EPIKD^5tpI@T{w*}DhgKAaUYA{e zKe~6X?8D9Q{-%;jSOKVU2W^&$&93Dj_YFCWiwOQJLEL^?mEAlWM7IzIe4 z-_fhx&DO^9o(QfuGgU}qAG8Wv6A@Z}9%fHi@4$90E$4w{9JaguOek2Rhi_is?c(Jt@*i_7BtcwdV^?U zkm$?vHR=mUL!EQ>#Se^ZafpZ&Tcc08cu-fTP?8SR$HFPAKyuS29JR@y;03tvZr44E zZ&`a|c{(DyDVfxLsZ!cB%@*)~yr?8!+DhuRHdE+LmOK+1T7g==-P+B$aa<{b5bpYB zS=n=aBCzZ_fW(*E>5}o}ZG7Tda4fZpypk@wHmAPT~$Iij{hhWAk20k{rg&=#I^^2&5MVL|kXUV&UnF*-zV|nE^Ckji}^U_+yoYzxRpf*}ahr7si)Yln71!UPfcj zsMdaSkJB+6yVrvB{9P1(v8KAiPl|g|4oaTwxncu~`!V&#yM`{jf?%B&D|hlzDmjQB z`5mDQ5UDWLr35n1z&U9RWi1170bOUeM09!W1hl)wncZh?ba382CcsH@R>#irjc5~P zW9$bFUPqcq>b%>8aO8=zUgZ!G|<+JJdg_#a-hZb%@NwhIUDsaLK*ul6<3@CHIqb!FhlUdZWVubF2V_)Jh~L zh$^~5x~q^RUANWUl<#U(-KFJl0??s6$Q~T(&cdC{lj-Kzgqrw5w1UK~CdAx~M=!$+ z#$2)y(HfiHsD6=uQYWfYr0mDckF3`Mek!gu)XwORM{c-CGi=qBrVg{#J;9Ya*cA{8 zK$ij)f1dg5jl({Nbi`^xr;pnlmwQhA?QPiZ=jVHe!^vY!FPg%z)2(<19Br{B_9`sI zo2L5MIOzbN@F+8cgt43Gr~51Yi}Wf-l3A&WzlzIWvD5Z{mubDvd*d9~wu0kV7fO6c z`f)%Fz}|=aeo#^9NG^aFQ>Y9K;iagDRbsv?!L=n(^>l#Nkyaon<0K5E4rFR%S7tp) zXp-RYb8^emwC)puG2r1?%qg!;9Xq2=)p2}ccwQ_MajbqQ*i}y+zws=ERsUF#xr1?* zoiCzq9zRciL`U+a%z4A~*7dWSD1r(zJj={yENNr5A0i#bTe0zun?t|VUX4L>Uyk9z6fCEeiMqrQ+&R&yXO8^LU1~>T{csC3 z!YZVTqM^q+&{97$Z9TVS3HKntn6)RLrrl@b7KX$5%sn>Z6(=N%nNm< zqBK~4T~o9;z ztLIh#$tzXCc~x{(;QEQlg|Hl8hr{=o1jTEA89R4A5eBckK&3qGmn`%5)QjW}Vzl(> zJkPy-dOIc6eY6m7^+IfBldytLRtVQ*({jgSnDILe4{s*~$s$kZ#c{O4ekF#DtaAC5 zyVARzSJixuh#Zq>05qqNP>RT{WK>wH%J6F)Tv&;E(DRWF_1vy{Cs{(JdgD1 z>O?3nwsxo+`C0Q_1|WR)z1WeUB~33S?ch!p3DL>E;3wbrqpoTdi0R}PucgRvg7SWt zGJ?Bgkg^sifyjmT!#NFyF7UU7H}cJu-!b|qx=cH=;^{PYovM({=oj%ex@-r+s6Z zpZB~gCkm)MCA~moF;TESD;Ab(yNh|KBMh2wl^V>Y;D0W+JU#04+IpG;bs$;uw4QKm!Q}M79LejMN?ErD^6)`Vs&#< zVQx$>svD7RC~0)_<=5C>KC&dLaKQ= zn*Wnz`3GzaH1qRubboLJSQy*6nEP2&QMmVd|aZ|{F$bBllNzZttaTYCa@nHiaxSpdxcc>a5(|97Bf4nRAvf14{9+nWQJ zzG*6{NvqTSXYK!OB`WIh*ChiB7dwD~m7C+QQvQ16;b8kukAF(W*8evn=6@fS0a`c! zc>b06-;VuP^6uu&E`L=Bp#G;|X#oGzlY)b*wW&FP`rjhaW9DG~tB=1gmj9=C{s;g6 zl+b_1kaV-N`)B>s|0*2tw{jPNJm4R-+Zj9mFLPsiYdf$1$ASMe)HMH>&i{Y>$haEY zS(}OgE$#lhO@G!dlGdK)W=htsrdIzF#=mfNpxHlfoVk*Ni}gS5EP#Q9gXKRsH7jdV zTcEj%%U|{VlQIXI{YOX%ps9nIHP8~E>iSoI#?EH{4g7;Pb#r$9+n#?8=ZK@%Bvi zvc6h%%L(^@_R*I_=TjZuF-^dK?u^ndAVA?HClYQVAmQLl2XV;T^R1e~;Abut4t38` zk0NldFo*z+&Q0n(NTavBD{}M6aNOjfrFQn#pMTpYSjU&UR~#(vEcIhUe_?dB54~h+ zEZJjk&gqXIxr+_-$nEY=fHde4fhZFMIMgKvy9+q9p83kC8uk}ua7xAhWVhiFDdxX! zHbS=!=&4P}NrE9h%OyOXR=qa3HK2r~SE_m(@>#~)f3M->agZN!{P>yEyt zl!sE9(UC4r5^TYU3J`sDF^8!jXW9`_X_`fSF9u)FmzFwR+>3dBi&EW+Yza^+Timn+ z1m?#^f+G}CDS#B$CF8Ikf7S{P1aR6_X@90r#77RnhXmpaT+&9x{1R%&#FTq*N2_Nu zlD+pXH%S*zm{0)MplV3^*zR#_da@UcaHQWv7*Y)a3YXDZKEgEiLlat6a@q+|KH|ua z8#W`)L2XW_hGk$Rc3>L?S_&XVFQ23RW~xO(ii7sjF$hAbix9!H$&YF-pZW0ZtA9s; zD+N6knSqJgixRv)W}Osq>l4-H6}9B5TT(%%|4Dj&i@k)w(v~saF2s!ht&`-z_!Ebj zBL}moqhSl&%e&dbo98OYM)}&VqfOvAhA}syCG9x$0d; z8^uT7EijM($nCl5nwZfo>(LGg)oy?{Xr90zGmKlC)>896uUSklO93obu#(WvB5ICEjc$1b?yUCGA_# zQ@Ce1obr#iC6G9HuhF!QpVua9iqO+{L}ws5*cP{Lx<4TtKR)IP%gB}{f~XtVx}Hh* zpCGh(R|jhZU3wE*NUyLA%k&9-#~Qe@Cln?-zP)_J87sKELkujnZ3K#pBJ|SR@27fdZeI!b!mJOW^S2g0zv3b6H2%$XTqsiOLe0U2t5j(kg5nVDny=vLduk@xcZWi2Nh-MMW z8a`kg)SC~xzfSq#wMD7_Bk3(r-v5O4^Qh<=h1?_@DllLFA%7b|>TN7LSvv|d9i$(r zwS^~{a?rm!w@}OuzEzi2(|EYv>2uTtjXpJ%kTC3V%WqleS?>L0b2Uc&1#=IqA56ZN zv1(sMW|{*RTVz_D2#oC)%2GHxPP>sND!iolshBf?cp+w}Q5HajhsR#)fQ?`B~8-e|r3j=-90 zNhV_~Oe_q^SUmS6wIop3)sB_ov#GpG%#F<}f%#e&>(#<3eH`7prKRK92oANQm57xv zmc5jTLZqevoF2UJI$G?i+UQ%k9%c)ZVph8aqL+_|eSa?ii(R4fwshLj{t*-wRf_wG-BHYUZcrWXVH+PkseZ%0}Pa<`454#vrjHBo`YjG{GNIu^f8T8nDfoN zu4Ceygnu*;tH>GHjHx}425@_OmY(SOyC&%6H}bx*E3 z7Jp*JXZK2|fQUwS^Q*aF4xVX*5V+5>SHcKpI$m?6=+BIWFC>AsU?F-hy^OpxLi>3L>1@(|4{zK6=IG=tQf@<%?ab6MD3@+7u@gAu@KkT z`qn8|jkfAA5+RG??~hc>5;+SB?M7ne;eWn(tG1WrhDny8N7qooz<*OFW;v(Cb+&0ab%-kWi4e_ zLMcJJvfHJo+;xXEo}2f+OBn-3#;n9P+0CkuJhV<_ea`-|?-F=l;A{ z^JP4`4V&{|BOSq1Rfz0V=~zPaoqu%wPE4L5Wex)VQPwO#rV}PLr~Y7lr12-$S#d}a zoA8G$tbVRh6vdWHkc&tR!l5x7M^F)EjLL(zLz_y4Gn;SM$jc@)JkB35hNDuj2X7g24LE@w+-uj^(}kY&1|o1UN9famG4pUwOS>C8-K%eaD@ar zH{seYY5APR3)=phbPi^1N)SO50)L_zZw$y%;&F?np&`u3M0wFk%%Cz(yPBQX0<)&W zYYf%1eH$k>0=E?kc@fcJ7^D=ktin5gm65f z#a(}fiP7+AXW*p4- zhC<&FjdIT|*d%x%?#VuB=$dq7k_K`FxTgs+=xihrU!hNUn7Py4_GkxwoBt)D*=q+5 zGmDJkoo47aeEF7yIOx+Oxrz2&TKl+etiW8EZcL&3@K~{}+mA9^g$_Oo{%I5zc!+MY zu4g5s?Sy#m_Ip`|x_>BelCW57lyfxLak#KGgLQF+2LuY5IqWQpXxwE>&O1Nva6!0< z_h?h1nfTRJRk4~qSViGv zg(v=<(pz;F=79X^%J9HU7U(rHOMO@;+U*d>n=izNqohn1iGPIs5&r;Xm(FbQmef7i zWIPjqXGSM^^_q#nOV34^!YEo*kCSX0Z~cokn%CM;gK)Uf2X#sKHw_0pRkE+Kbku1M zo%b6*mWD}y;WtQ8j&U6)p=~$%o*efbTCtCL@-060gow&<5=TZl4Eo&r-#;3MR)eEb z%S5M@Tq>Uu=6{fz=DCAK`0zXM?Y|M9&u@ij7!tyE$eFI?L?DcM=@%-*#U7=X^YV`j zcx1L(1=E(YC-OgIGKx=)R|oDrU9FZV92xl*C~;+iTP*@%P`LddaQ!JK>8gU0D&(^4 z4g*Qss<7R%&)W2E?C5y%zYj32OP3NkDi^A$cr zFhZMDaKbZOud;_P)h;|Tq2TOWUo4-RI#;X}$uJFv-(+Z1y9DtQjF8JWoG{~)HY>rLS*Pm z8izNgN}M3SF%#xmW@U26WLu)|HuX$A?vP+g#5qn3QI7LjUnYd9OEs98G5`DSap`_v zL1wMudq@S_T6ceCelt3E9l+>B9amN}hNuH0_=?G`-K1E0 z)-oPSuCt~MQCgDu0N&tMVf+{GY%yh$tf|i5Ni)%x{ZtR7i8$ z?Z>*iC6J2rC;1acNf;CvlJm5jFIcU`9(I-*DZknH-gcYF)>Ry_`HMGoeNL4bW@(Uk z`>StLRzRn@2ukBSQV5*PYqznEMyq1V$n0_WIZ)xYTI7>Z*h*iE%X-`t9`b%0SpIq9SxcR7>VGudVIi-NE6KB)Ald>9xj(?j26f%#U z{=9Idub9u2i}B6^h_P`Ny3ln)tc`8@$6_cvm?yyirgrxR ztDQafs|A2KJylA`Q&IT(RL8%@E-HtS^Ktr8bzEsT;}JpwF_)3vO;}F#EKE^Y80E*+ zF@%nv%`ZFxWd{y6_~%0nOMg+q3eW4lnp}u!DE|2u+pS0JG2K z>m%glbJJREC#vG)K!1@ym%WbT0p61kWOCC%J#$@SR87)`_{h#svd~O+`*?yqdv&Zi zLMtzj%W>T{ZQb_v^et>Ja8NMPU~{-k)!L13SyTH53#1NK4R-l~;eTh)CcH<(CII!| zVW-rL^Au{or)3i!>btqOygnI;jpy-h`!iW*v=bG41%^spzWBM1K7wCaL3BB1iEPox z{XyA~HxnL3?F-q=Z)w!Xh0$Fx1YFe1aLJuheeV}+op9&y@hRN78)iKD5B zOfiZqj01Fp?Ms51AG;?n9uv7usOV~vrF+uHU^ey6cB%1v!hc0Eh_MOtkU!%kn9nDhd&QM%&B0MhSXx5>^TIi z;gm6;pvNyh8)Pu<0+`rKu@tZDJM~R+E=gmXupCb>7reGe=8aASHCt_im8Rq{R>v~B zaKBK(t5}2fynn2Xy!f!#|aHb?wb@4WqfH8gO{tDqu z8B2l!eVu-x;Z|a2wT`j;EJik7hhhNM(N5qb_s0`VKz~qx`{ZB>H7-Doy&f{Bpg-KR z<*i(-;5%oB>Vi`NPm-(DdepjXQxCY8wZp18Bk*A>6CPp68AtiC8Sc)@nFdEjqCj#RE(7UQySO9 z^!V3DZYuaYA3Hoaq{7wI%o-p3`V8K(A)x&Jq%kcPNOtgIY}KZ~GLjkMJeS`{i4pQ~ zCnDW)6|l?KXh5y;R?D&P5RCAxq}G^RU)imqE`OkYtI3ZDA{88RY25OiNn+k~CVfuT z&{j6EKS^=s;*_wMPpyVbHWwA^**o}Yu7e|A$WI`L4@kmIeNMB}#K;b!ltX@AkoIwS zxh)GGIXoDZfRVE(pogQdV!C<%Rn3i_=Fn&;QlKBxGqy01-}k%}!7SM-czAVj&ixJ2 zw|~w3W#2+8)h3C2cU}^~nx=LDB!~M8f?dVa7{U@Gku>q{mjpiwovGqNUh1M?5yS|! z14xabfx_8$lATx@XfbmmE7&OAf%U9$m%1f&d(Ln$rX7XG4f{dzAB*IE5q?P;bDmOO zF&LEuJbHOvU(XmPjg8h`b&96S+B#}5j(_GbyyoNwUoZPL2khGIdL&;S&j;}70$%mg zc`PtLtFU?E(rC7ABp)~}JRun-X>!j~m|!E_dR`g%^tN$i9W=b~geYj72}V2nIUak` zHHI&tFuxI?vYH>lH64PE>$@v>mLNVe5Ez4koF>}6#|W%B8VPLSgHoSl=)-JU3xDJprAY$av&eo+hb64b*>j5u6M~dWfagD1@=IvBRqTddh}- zufCfxpVrr+nJMouJB6m^9$OK340~17FHMxq;-m@pbqo^;`J*Q%>?^N-LlbN0(HXx# zAVqM^{T;Nfk4)(j16M(`^R#5$Wq*>d?k)|L>k-yC-QAp&^nGP@oNJ$Un~;teh4oDF zEIksVYe|iQ4D*l0pFK{0$r}~;RY#W7NsRmgx0=F7SQBEXK&`UTiG08{wa*V#DBx9= z5e}Wg(owezAtx7hRE*vk*DSRE$dG5+xS@b|ivh%=p18-)XnE+{7wcMp8GkmP{FkCZ zJtHVsBsr(2+jPMFb@io?wb#vuxsWWiDV9>fYLZnb{iLi7FCCCiL1{!-6L!0jNXvSws6oSBV(xt2Ids}=^BCyk=USBylS zMp!kd8muX1uHakGVT@zM3G_Ratf|;21SIU*qxiQ6-=o@9aFmttHGejFhB6D1>{Y_S zYkXTQ3L@*D#*j(`-KZ?G@?Zn6Evd$4=eCvnhYT6VxOX@4qsvqo`W+TN*&CwHN?sS~ z5{uGZO0*DzwX?}Pm0k?dv-mEe^~dCO`49MUbn-RV!eLc}40ya#OO$A}FnqdAIz>DE zMVrTar|{Bsh9%M^qkq666dx-w{sq22&m5bpDE@yCMbakFJju4y1YHSbrs?}TGXO+^ zN|44I)x_v;mPNm!odthY&cIhm(8d|`N);-va9_-Sn?42GcbrIsx3{Kdq(0nu;C?ge zwfOiQMJ>s{i^w?#OX(Cp@8%d33dzkbd%G^E@d7?<--kV{+XV0aK6r8I)9^gm7n0je{T{I zF8*TcM}GnfY+AM6rB{f+CozVg{kn24dL}UMh{d*LyblQ7u&A_9;U`}o&zW-dr*ZIi zGF9}UEa*=9up6+|`Lz$;vMR89Ob07 zP=98eX2^YSrx}Q=EFD?g0{o?U=;nBIUMZrE-Ys<~bB5ld=BV_&%DDhM#qbnaO_sZt zon62UY(i~pG0xD;YZ0)`ile&W>_@TSjqFYEFM_IUoLoiHl}^SyL8BFWP9gm)4}x-T z9yQd#?YIF2&oVTP2n${2!$48Qf;Izsnt!n1`|$!pA@N9M9=?+dw%rVeJ|j@oKKc(+Z=1(H z1jvcq0eQe{jb=toMr~w%1~!;2j>$z9;9Nfe`vN<73%$||Bnfus*lZwp5r{MY#(z4a>Dt*s5?ebG$2;0i z_fsp4FQNrblMU-D$haT%x*<)v=N2|QiiU9!LhA^&$wk`;o~{I?37)P;Ut*u2PUV0` z^gD!Xk{CEFMpJT=aNVvBJ_ zpKhB*I|MyVi%ahJ=h}G4*?bWb&nI-ZG6k5dhU4iJ`x}%Ut$5G)bHt1?d4Dkt^p87E za*5~RW)v&FKXs~TF78GZ+g)xXOu0F?L#iMQ$T($;57ERGz;`g1kW>eTU*(I`cLFBr z!XGGvX=Q0qvprRNTIrD>xa*)lXDR;5)X+AANMtIjs+38Gmh^|6&O2nmOCovP5W_C7 z?nl9jFK}B++@~K0L2VI@%zwRi%FZqk-|=e4@Wp0$h*%IhpzGI1YNXXsiL<)z2f2hQUaxFyk zIJ6e8?A#3;)$~QSdhepGdPp)?{<9z#Ld-~?1aV-HB!%|Wy?_7sR=cjxaJZ^NnMYqn z^Dv>FimLVi<^1CeHzyyJL9-+}{UXp1Ck-n5VT?Ogo5 z#R}*(e<{e0&}Cdc$9q{?|A^;Bd2OvmR_mJ0h(<41709Qh2zPRk3u3?|y;KF{6YGMr z8YqZCfoPxEfq#4D`hfyzXpSymH$6)njt*KH{lbeqJ@(##O-0v$`1(9~QuSqzvqtmQn!U*%)~EhS=1fGJV>O^{aV-v*hNF z0|Md6cM@)eObv#jnPHRZ&2@a{5Qfq!r_PL@SY`pgynj+`R<&ZQ`$~d44CrVE@wo1| zAis|*uu1SWzTP=LI-qKCBJxP_VcdovE3D)HX#DA5);)pIpA`b>YcO{sNs((s)ATZS@3cpGw(#_v` zUK%H=O#70tKPA=fbCy3@GcfK_J=4 zI^(ajl0(YFugdstz`vkX4w^zMiEEGt54V6ensW|wurY3&6;triut-fwKs4cOb4^oG%AntGbT=vf|&N$^dP zlYhc;rZvcUBP48`ij|9HMqg_6!t+wq237)eM-RsjJcwG+dXtRbK?FI&=Hp41 zXSCd9^XII%-{rJ?kx0KB@ha*(j2de?=zoj&5=@bvJ-g!Z4P`+>1xXOGehtUf#r%=1 zrORmESHi#uhUkumM`^CO`wlu_+S26I5kCONHz-_X;j!duvR3y>bR6aD?i*>g&J%LU z`Bcdyox18}N?V;{0ZhI!4D&ntP$plu$EWP<82IU-*(LPMxURN04j)0QA}3G}RO7@!PW zud&hCwZ^*u0gEt@7acDUOuetonRJ#*TW`I`5yQwPB3mEge2%uimPlxx?R%MaNMMvv zaFzP0y~9u$o{LzC2PnMM>yL)spMN_f%-v{!4;-sBR^8sQFhnI8ns|TGy5e-7=_}67 zT`;3+wSX9w6#h!R0kzQaEA7*t@=DR%4=-Z?B}m zV3G-Jb34WTbRnh;%3QXMBRbPo4x^%BW1cqfAOQc=j`4c7%%gXj04{$_34geb325Y< zxatNGJmTyqX1vohzgtSf=vzEIpI9#eG2JAZ;@BKf*ku$}4I;6S%jGcpUt!Q!mXl!PLb`509?XTJPB>WD!no9zAk+^OvYHl4H2SavSij}LWFs86R!y?; ziYv;SO?#d-X6w{9=1u;VNCiwaJ$FIi3O6rxyG@cU3Ji+q8Y@bS-oxp;BX~z(d=V@4 z8r2^NL1NjF>mpD4c7J={u00g@3lAYD3cEulw(SAP?*no3Y>EaFH`T}HDVPuio4!+ChhQKJ!##o6sFe4e!_%u+WaAoCo> z=jVUdZ@rht3@h{B$KJ{jaSpsGQ!;gwW7Xu&3L-*pjL-PuXBGR6JT2oaM<#6X4Dp1Z zIezYiO1Rp*)PI%yFumTpUPFUI)livnfQ&3sy68d zQ&_G@saqj`S2@?#O-8hiJ7&EOv~h-P(6YJ69=lO1dlSp8&NwRFt zyOLus#0|gd*c&t=LXerLd-Mz#)%dhk8vBa_P%&8~ns7pyRJYB< z0UTq5#D88}HpwktntX}g4Gu%UlXPH-;c($Sj@R{1rbb<_F)H4UK&W+0rL>Xt*99(J z6?1s5IXw|5LT0OgO0j{=w+gWd2Z>0;;D?qxx*erubK%C+O%e zyJ0od?Z=c-8gop|em~aF1>+kM%(8R^d21NClr#Y=a#ze6moSB)H$#L{ZtQazNlM9T zgIU~;-vr7jY*JYs$`uK?;huI+DhhSFp#|aeH96mExmH6z?5XYHEIJLyU7KBCQGZgB zQ^ONl0en-g_mH|1jdqUp4sG5Su53(kWyiK-GgCBERB>q2C++=;gDbT0g_hU>aBUyO zO038NJ0!dWvX zlRVNMCN=72ws`*jt~#GH`DC!3ujj*@bB1W!61BE4Er-3|%rF+X#as;1yWs+ZN>V30 z7{+B0FK&c1bJpiu*$AEK_O|?C1857;OI%>>$`|;1R@gv)HM)z68m>Kevww=^JOLD%Macl@83wqqWB(XP{EZp8;16ChaXwB&n1o6;0PAn#ApnS+ zbJWIzq(yRlg$}-B8Q@G+B7Y{K_huz7%@W>KoBeS5q44c%$ERRWrhYI)#i-m{3xU9f zC1RkWB}!u<++e31pA3dBO)$92EDQ4UHgoA9f1|yyC(>4OW!QHb>7A*`UqWv1*Np|s zPObQ5(MK2NxRl(e+Ig^$UVpa?`&u};x5iX-P?bu|VfF_|fK98CJb&1`J-KK^?fNvP z;-YjaMb<~ckNGIQ{+d`S`LLt(diabrPQ_~N{+Lle*$;XrglAqY^Rw-ELQObJG1Vj$ zr0V%8Nx<)A*CKNM=HasPc14-=j_$`5aBZI5Dwv;*t|qgCr!Sp7@`XJ(hK~r~E89cL@#u76cYpuL@@1ekWqn~9A{Fgl zOCFbAn4SJG55#b3?f7mHy;4BCnsfAlG%N@^3Rl@vyI<1U+Eyl{#J4ucUmhqwuQrbX zb>Tn`Pl1|N_mgX@s%>Y*a$g1{AP}rqjPa8 z==dEz$tx|?a(sC^q{wt*|64bbBZfReWj0Fg)53(H(2?R-F)3(dc+xX2E(WZQhC*ov z8-^a%A4@XXz87t<#V-94g912w;s@yE&rll2S@QSu0e?|!N*0oLTNRWBp6*J6LP3c( za*fD8;=Vpfo)(O#!jKe&lw+cEDP8dE>~vU(oIj3Y?^O*X8k-$OOr3G8w-s$0TWNfc zDU3#(@y5fB?{X>wBtsX4sv1(A}-u2%9_NAWlkl2DUeqTLMsOn z;T`a!vtQcrU-IYLbEz`;v4X{ru&el+UmL_48#Kka9 zwgv2D3RUyC;xP=MExSV7yLmUMFe{?AEGN&5hll0cI{blGQL)*_ZQgH9Htzdf^s|~= zvVY}_ilVs$Lr4$rUdUVMHmhy3Jm78sivs0Kr+Ejxu9AIN=s93(^2g*p@hd#p&!+um z?Xw(B_O&$U99KTsf#}b^E7sN%ii8atxu-woD-D(oEhrdqiTLSZJP8$^cpL-P5BNe! z^9m$gq8IgkA_-e3Ml4g;yb$#141(YEtA8B2A$k~}N|5RtyhaHec0v?6Yx3=|+Qp&2 zIFxW@E>z_vU>cJYTQGR^E7uI^#=>Ny`vdy#JM{+X%8%;Q?OAu%gR{&{KY#Bk zDElfrCbab6CY;yJ5Wq+2P&56Y&t~gCOR2TT(XuU}?t+grd`e^($jkHf$aS=Ze#zC* z2wp2}3xT~1;`O?-OvPIo2PkYf$SFSUt${H8c4u_D{EnLcv~4;IXrK_WqSId z=A|77mx7o69Z+qiqkvR)gR=L&UVmhV0A;{};{xR$D%n(ha0Z>s8A^aEJL-X0EJ)zV z2UggC!AK_C=>$F4D9iohuD0~N%Pui%__M*t!K$~nRlhJxlyF`ilg7yfje>44oV^D; zmfagTo^{(Hd#|jBXAgTP5(?R}x2&?#Q_?n5?nFjN%BYM=MMBY1Ns=NOGBQ(C^gs9W z=za5efB$d4U+?Ghx}S5dbIo(E>s;44&vQF(eS?3Rd4?(6+y=s3W3L!;5t} zo~M)w#8R}{_qC{m*MbbywhHSC2uuBMPgI?bIx2&GKaHNa0NruMBv&- zy0-gSxR|Q!RV_35U!dN$vNCPX>)@Xj)9}ecom{o2S~t2+wr4c)E|&9XPhp4c-t~V=s{keddeMl$@bNQ0ZK&=JxVvdm(dBH5D-w2 zmIZHe8(ws9{_fRMWv{nq*WL>9Q;w_588cBzQR4g4zGEua3SwPMYr3b%6n$F4O-$#`*=ef&w1_oIY* zI}S}hRM@t`O40Okd{5WRsA-Q@QP=rqBe#h(>>xMs{8cZ*CzfW0=Ie5n??h^E=>J5h2_N$#IuzNIh zvv`e?@3+H@>pcLJv3}Osn?4rFejI*sA^O!9`xvJQ2Ynxo78|Mf6WKJ2DwW#CQ z=><1_&FFB`W5|eZ`S!McxPMoq#B-fPL;K1^dQ}?99IfZ}#z-CHP_Yc$wI%t$hKSCr``4*rPwOAm|s=2WkSS>?=fI~8^a zYk8>(JZPo_rH6V}wK1I*maUP=O6!c-hza|e?soT%B>uqhh7S@f3hWyiyx)Hf_^7$F zVR9z6<(hQUbM|dUk@iZ?d%7bxj*jeVX>{I*7kiualmB5(XlpC(@~rp9qG0Bx)9{(zx ze+N0WW0Il0Wiiljr*rT#6&^<8d9T(~t%aVnnpDd3add5VxSH#Skup)y8*9YB*m`@P z6*+(Ur?cCqFqZL}TMzx+xQlFuoF+Ne+h!j1-uYhf8QZ+SNCLJh1~jX)VT) zAyd+MYZ`Q(?WY7iw49QaEUOTw7`N_jyz)B+s=L+w-t>Z zL6utGNq}d1h=$CGmmkZUSkeO4w)*Jm=4nIhY&g`goS7_UXl-__p~LD<(P9 zsLV0r@bQzMgl@eP7qP!AW6*PXI=k)d@k}mVjscua)=^70iluZ~QE}&*$>&>x4}X-d zi0^(WaZ%Y)gp`sUA;5se$Mv5~PdR1PRzq%4`NLt34-V>&3kt}IlXC}B7sq5558 ztkLH5_;DXuF*dHl=qvT3y9Ib9J~Es1p*+KsWp^vD%|zuC-P+yw+TY^K?ME+sC=q1= z7B5RbdOSMQ*T0TZ)26zpDTq(MYYl!FDbzjw$gmD%&Cb%l;p|!tyIt*P`MG^R$Qkdr z1PZ5(A4oMdb`dpsw}g-IY`S=L6E4S7FZS$vp=(OTyI21tB^_TCoW!J76hAI^X4RFG z2HNA?rA+<2DmkB-$221jt%Y4m z&)lG>y|piRqVkga$&M2TwO!Ss%cH#b=jt}f`h^8!<71oA+!6f~)lXy4O>$RSN_wm9 zKAp~}*GoUnY?x4DkG8~Uyf?UbB>e^F4bg9Y=faq8=xHB3diY-3wJ{f|$>@0Ia(k(q zc5Y!fG%Nek!r87>$0%2?ue<)bj-ht!yzu;#I6sOesO@}O``Bi{Ux z`-axC`4{s;t}Qv|Q%kD1&uCqlkYyQZW!Pngxsujx#1SFBQ4t4Xk5=&GuP*hgZz!lp zi<#?-RTX{=Ij-QND3KZ;#cWixX=r!d6j?A~8;`J~>Ar{`!J~C1ly7sZb?x{jGlVuD z!JpMtnMv6j&G>RP=0QkX{K(n}b?)~uxp~nYPy5pnPZ^M6MXhrx8XxC3Jve)oUsaDY z!PZsuSgebt8aaP1Z1^G>EmO-61)$H#o+`1abywJ%KWxpH*KDM{7&0Nf|8wJ1_J?rS zoR3@HU7j41IZ_Ghd=I7^ef6=-FhPyH>e7(yuwnw=ZqkDWH9k(?*__T@HD(NJ3Wmcr zB=tKTQ`@d5^1$rDnkIE#1?C^-#hjKEa%T@2Kgf?R9Y}9WwA^J>y zcd77*%I=xkyXnWWq6f)Wx$?-Ta$Wjv-v0K~FiM$w*460j+Arfg&nhXHedLX9)){XE z*UcF-k7&!VHD#y7D$lW3=#D%*BP6;pW;@sEh2n$FEySojU2p4B_u&E-nZ?77>}hfwDbZH8ID!@GeEZ z?%DL$vSK@8u}Sl*-E$wM^Ch=?U63k|bqu}KhR*MrTLjIv20(W%W`5-|~SwF`YTEw~teo!MqPRG8VF_>G- z!9zqx)}r?Z`I)ro)UYnz=)Zl(SW^>uh z-Y3WHSH+^a)VoX{$+W!Cd9dzt+ zZPPBH>;LHGXagU5v%x*tN!gUX$ZWgq)~6J}8=>`0*Hm7euCRH&aHWBD{H&0<*ms8o z#b2gV;^SGdN|oEcK@VgtH;{YZa7RA6=X-13KECO66T3?xYoBhRY-gl?Syx(7M`(eI z@Xbne5owXd!(Z-2%V)EN_B?EL5M?}dYEDYUNou1<-q**q7oOLIt`^sQyqI_;)auBF zil;Se;cM@GUq9Pavz=`CNN;ZQq3)nNs5F1~H|JeSIbNMl?+g3MFO7Gn9KFe0YmfLq5A;f0Hnv_;kuxtmB;+0MR|=m+ zbN9tywo>exEfUYA8_7Ej*f;9j-ZUAETC>m^D!IuwE9k>e*_>*=!L9W>l%9I9g+y}f zde~f#54TV|@;vuZz~YJW*00eNOwdlwaV!~AUiQ>G!?n<8|_)WWbWfqCv$%ld_X(U+LuI0{N{^ zTd?x;DdSu>!v`$_c63KIC$9hz{P-HYH zlxvX}V?EnU9M)~iG~Owda$_g4H&hV=?g+&0YsBoaBpmz5pTA#!V|7J-0NU=F<+C@6 zCo_&bR61wb6YYusu&AIAGPx>0xc1liS`_ z#vbAS@kVEG;?uCL;x~7l8@*c{Uwwx`Whshgv zT713GaGj#{FfHqO-Pv7(YP+6Kn4C-KV`5bB-(_~h-9z${RI7jvF1$+(!etS-2VCCp|2#@OL#96ujdV%NhYRcyS7N*9nKN zF7g%@1PK}qH+^H^htI!a;gui~38?>k*9jg=gr`Cnlqn(6I~{C$7j+AwHp-K6W^mM@ zC~lb)6c?(6i_0k5f@o&OX~vegSMH6<8T069&fVmp*YO<_ef_atrQ<8UEqsaVuFijC zT*~tP#N|ZCL_rU0W+e^bG-Z9JLI2?q#+tns!bkRoxSZq@TD^CJ;SYy4hOPQl z)Gn{W%IYjbkwKlm$ujuVwEKl%K_~<3ij;8v{bMjAl*nj`Qg`xNj8s#l%BnOs|=)crTC;g zR=pHm^>tNG#IFpBQ+Fr(Po?%BticSm)3du0$qeP|C0uSd@CHr3<9yKDdWV}!|F&yW zL*FlrseUarH@Cfl%t@;p5<{fY-cNi=L%sZ7b*r9*arM+Hk(scm$w|hT#hArvOoFzH zs>t)EnH`bboEuPkovqwW@97^|$p8K;t)yIS46UYAm5u^01fe*ry8YnC%hJO#zkZo^ zUD^Bd;<~)XGbamQp!T-8x9V;F+|PY&-++4B1?EeODxQSflnu}J%F4)~_Rj8TIyK2Q ztsVGbs`W>j;dhNi=?`BT$pWsD5{tPEqwbHGq;5nroM%}4)ITtF^e38$?bENBD`82b zn8p45zr-4#UkVG913xJ4->W`hti@RYGw_54@8DUssa4Ja2D~!8jkeRmm8`YjXVxXw zZdXs&Q=ch1CNuFXtiFynT}NPSa9X)lPX+aSgmQeHz5z;=?K!l{So`Qx#?V>mw>)C+ zIS%PP9`4AD`?Bz@Pi)#jPGpP$@_4klN#9d;SC?$cHapCT@!V00-kg@(h^zLsXB^vG zNitOtg*8Fxy_8~sHZ9@Ju^LtQ?W*(bb4R}OzrPe?en3PvI-ajbQ9a7=thJ#)3ENSf zO0jTmn_PLW7a3l8tB)r6PuJvzkUK(4jOwp&8YynPE^D}5B)KL3`+fh&2afzH$Iq1) zFm8puNcrulK+FW|5$w81|$sbItjo z@X5CJLrg4P60N=lBH&$5^JgrJ$`eR;u(_Bg#2Z;B$G>E7x=B|@ic;EKyPmkOulRZM zyJi~^^#;dOty_yuSv@Sf7#xg82Qgw|d97XxtYaR!*P6X%<6EO$qJ&l9$%83Bx?DXhJvn@@`}If{%-7tBnr|ZV zmT6gRRLb;LHAM}VT|c0Z&5}8n;%(f!J!xd-RK|`mR}1eK-ER&vursEO51uYeSN*c~ z*jKkfKhwhLvhWAy-|WuwYVxCw(TI_aT1ljC*WlzZvgnNNyk zdy3_L{`@=)yU)v=no6J1JmsOYVNh|gnlMo+f8wV`+IZ6Hk**CFjz4uh|Kzwr@rCQI zehu$V>@yMN>5Dv5_CE9lE3>t9X-B4AdTF}sP<*|p?kwYAU^RQ4=tbM`fRmI9_pI~| z@wbLtyLZaQ_mDB;J+~vC!X;lUSeUsRwwd=D=i(=`VdM8Vp2^=byRG3n{8Q&gs&Lea zFwrvofNS3iFYd1$8Mp5GdI*loy`1Hl7o~twG3xF&@l4u`f0`)rQRRn^jIgxj;)xem ziik!!@h_&Vw~?z&kjoa^dL*fl{&{RPB)fIM>^6- zT+NC$I^eA|+Y)p*g?#(mFL?7mf(^53=ejOEIIFf{-RqZj$_y&~&s8oDzYgs`#gmck zlw-hVu~{TNo}?|;Jj&Bg9{zcbaDU`*f!UTcN$sbG5zyw-O$R0&*9}UKXot+&Q`iVD z8y~;GKkMCN9-g=44+tj(fH&Ggtuo<&F3BuiJEQhnJ@PoJmoR;g-s zAzr>RUf#-GJ~9_fvt6Qf-dquboZO|qJnPAyb+{vfXQga-Be~9HFNN14>p5ZMa@jN` z+(67@_MB0a!Q1Q&6GDR_5yE#vDw4B?gQP1RU9j0?x;&fbc)x2ibC!_0O5jMY zW?dXU*&QROWg@Zb=(N>2UVev`6Q6I~R%@^xZfo<4@$A;IyRhA<#dlp~TLA2Lf0O-V z9m(F&oI2V3!I;xyKbvmr@_O1;m)uomu7z1dTkb884n+;x{!01Bn`uD zH_aTpE+HrS7-dx6e=%4)*lM)eHazX=Tyibr%^1z&yQ?1*>$R(jx+qw><3#6%L?ome z#qYeHJa*?3alQd==s3DDcZcZOG_6*j!~5$}DG&I|8d3LE=NGh&Y(3Bvr+hFk(%#xv z-5>#*G$!uBm)`WuH0P%1{FzCq;4E_KA>#Fc7p7!!MWbmGE44?lt!Kl&n#&Zq#EQiTgcloA0T6oe}=^Wn3}mPVEWN*+UMi&z>&} zkw6zwOe-S<)l34{j>Pq4eaVaWe;gi`7Z@^;lTcTZt`X_-dN1l+TNmk?D^@lE==?hZB50Y^Kz2ZS%gMxOMfJ)UD(OT~)_=+Zqp5 zojft)M>p?{<{Oo36gjxblTT-^`yml~=w4FC`kM^pZ=(Eki*rp3pSY5n(_Z+Ef4$5F zRPfjAdvVVWT^y1#KhSleK3`a;_Jo#N47xksqT+lKr7rbH=@y|XLsPp6Kd)^^4mF7w z>#y$1dimKQIW2PoxPH!H_Lny8A~4oe!7(y*IKdeychAUu!EQ0e%sHMM;3$! z{k>;3i;^E;x0a54bQkg7@iWHQ^e%YuV`Y+skVMeV-59$CJ&87}^w^R|+&2er$Xs5t z5LmD-4+-)fzi@}?Y6^@!!)%wdDgeG)9Go6Pt% zGGMIU* zd2$VNZ*Pr zlhp?~Q?BmYm`|D9wNOg5Y~{EgkbkUicFJOQ^y=;0(+O?guAScbbjMI>bya?}?GtgL zcGtObF469v3YL_KW_Duw!r_X{H4-tbpQ3z~tQYPioZh+mNV}lE$uE@yje-4Z`ZO&v zVc8*kwLVusR^^2@!%h`(7mi&hC+;z zQc$#t2)TRDNvqj7$uQ-teOsk+)+g+iox4x6+q2ioO{hLJ$K?8nUW@DNubu0aPP{3) z-R?(Mh(Ow8r&8bgdX0Rc;^w+zGoLh79A7a_U)FDVq&01-99|um=wXlf{sY%2KQEnVxpXLb-6o87Qsb@u z{lYpy&&qF|&3;$=$|7^i8p7k;kLR;ec6RDJrH8$+ZobuSj6Lv4XOjWflWisMGxroU zRWNY9Y?)OxP*rqW3M_zi5hQqS$(`sBy=a*R878+jxvo=lPdLfVn3TLM%LgN?hz+Q&rwR`IbO5NEIJKe zKd1E7GC1Q&(P*rmH0tt{xApDl1K#;8=g{}nKKp(Y47Ca}4NMngeKpU&ixs=yVy~Ux zz{^#YmrrzhHhem8X70hAXp)yhZ}~^hL#FbI8O{;n<~!VMFEP}VmnTfsp3+dzzI=7n zrH;pyTT7l9sw}2ca(wo)A)q+rr@Ja;0-( zyPbeL+iLF4R_op>&tQv_DioFYcMbkKdDptE-O4rI7X0nH{HBG9T~cM@?lWs&_4RW8 zu+_r7f}V_+osN5c;RHOYQ<)ll=4j=H{G&V_v06#PLAS_?3nicJuCX0|LT()yrG%#r zuEK|JRxSV7+-{>|SMo%vuYXZy_p@$1?t{?JMkY;Bx9U&Tuh&S4qFC$31FCL?%)jnC zlSsU>U|wEwKFN7T^})tYsm#!!dyn|mUrKb+eWSm7Skie-M%iJ@Hh<%HL!KWGmwn@p zIleuvqg3ky*FHmG<=lLADZaDA7BQR0p$aNSNz&-FIIU~o-U~eP_WktKRF?3?AGQm-P@bd%m6VJg3J^Ie|zA@!x1atGck-E5!i6{7j z?i5c}y?y4gt;02@Lku})tkteY#z%!iJHJ;v@_4>A>$%jri3;ljd$`bwW<{&6Yj=nF zb$v&hUkoXniZ)^OoC)GS=O}24urAEhC zH>WKq^Y8htixZRFA3Z$wM2l(bySWWaM>4LuQ;N1uKXP(|qz^uQ`nu_YdYtgcr(?oW zh8O38N7VF=HNLwcTHMHDo7GC(G4`Nob5f35aBxQA36i z*N<42D7_G01M51~lo^%84|7ftnwp1uZ{%v1^q#Y2Dcb6H*$bB}@;%I5qp{;|N7+G) zigW^nO>Qb`OS*>bp3w_WR66`roeSAZeNU;y;d=`PC4zg{uE9AonLL@XCnQp)W(bEw zEJAP13Ad~+{3dssf3fQp3+u`I`eg@_^A|b!l|^@2Ic49xwgWm^d6FYWSnHDEM2!2h zsA_(H)*K^=tKxROnJuD+HI-J^S0$y6^wC2jPXPw-)jmeL32VLb3tPW7af*;Yu&m7B-u6Pi{Y}T@H{BE+# z^W$Fx?CUye^UpXoh+P>kPR_fnk)mhhs}dOP`m&*Zm5ApP6}>%cS}#$QjHU1gUwX>a zI-AMdqQvZxMI9V(_B&ne_chD8x*PYv|Dm@P2U8qX4c*RG;I`oqPJ|8;#(n7bE`7HGuz&Jk4RLfvZ>!~ z{x}`=gB21-r}Wt+Qp6|8z1(W?!ymWK9@(;ya&`E)gu$~HGxG;hpXjE zbB~V;KH3(Y-V(l}K>8S)YMj$P-)HOBWa{wmf2bnDkLxUK-;vXU8Mrm-X7Z!e(tj`d zrgos>e)Bvnx3$6bdr>FiONfWE0&SXKR?oLl$`^)DH<@N(_nCXaO+#!JjIcBYS*jL zxqYmw_PjO_Os_f;NqLjb7R7&lvG}~=qe8RbALrgrRUP??+$-b8H zdeTqm-qBn0uT{Uvw{Qzc$yE=gj{1IKYw-BEZ_8r3yJvCcx+mwf8l{unPun<7R|R=2 zyc{vfNYndelSi}=tkK%=!{c;s{S%?i&&@A0w|w)#WDj@DzWh8dK?znJwA|w+DDrKg zO^~~#MoPc-##h!MrNJ$C3&L+5QnJ*47DMEBX?$J~Q+MLV0}H{^aog9W7Nv;UsRl`Z zEgsP3oatQECUmrf&)iAW>>YozMIig`&dJLA-*ZG&a zqYZ8gGJ_*wJ!>%a-~D&Jr^t-xLsnO#->1HH8!5Lv;BFd&Z~BUp%@i3?c-GSv?LxfU z&q}(JWuvuCUO%_y`zuf3*zX_w5^k*1iI26If3!2mfVI}y-#S2C>1>u=$|s(Fy_+-B zH<}p7j@^g1bfrv54>Zk2pS@Ugez!%G>tK+o+!Oh{&obNaoUudc!qXoqsKIlaeZn8H z#*>nV&Uo6)ExI%j@5kb|2^lp#9BNpUwQzCCP5s<7{D#;pn^Ux<>}MZCyI`i^!U+rP zB6M?GZ7R_in{bSHztx4XyW;tojx@rn>G$UD^?n~@N%e)}*_bSutj%fuN4>jS@1rq^ zNqgNxJEbXlJu30M=!Os!#V@T@dtlF&2QqUzf6iQ*S(JQdQeSrTj#K&L)Uh$E^qqC1 z+HS?SaPNY#An%maD<+e2L`_UFh~ zw!Y&dY7AeVewQ~8b5Z}n+>g6_$s=khnA{@QyV^BZ1|K+r>bkc2 zqBd9GmuByw0Iejo>q(zJloch-hZN^pjvXBrc;qJ~%bkEe_1T|1)OPce(hlY>)nmae zSm+beXde5$_c@N{CzQW&zT0`IzV-9l7X}H5yYMmN_>J|fU**?&b8I_XhW>_2tSrR@ zq&-=armK_ArhhahhD>BVH*$>P$efz6M`cHucd0-EUO?}i7{7M6>TvwQLc^2yg2rH< z2n2Dy=JNeC3OdX6ijXNy@L+4ueWg`}*T{9Pd!s2HqkULkPi%JbhB$JEnW= zDBlNh*X)3c+5tHH5HpU?^-lH^+ri*NCYR<)oGw0;xXC%{@3I}$r6@h=e~@ye#8yKy z_Y(TSV8lL=h|*a1{Htvqtj``&x?g%#UXDMR|LUW-m%5@5sj5)yZeHefhwNIDo`_pz z^*87aj!yY26i3(`$TS=ehUYG zjR3(Ho7Sgpc=;tA*KxwH|C-i5@5dpBzPO0nDDTpCIMSz7e$(m6F=h!V4^Dg5NXn&K zSX|u$s|$NhW6Nuz>@(KiM@yT$V`J^)&kiiw=Fcw6uVBCSuv6d*zXQ5yui|z^@A>*A zsOF1PvhMy&*R!jVD0kl;iqN|`Sik!1;Hf8;5+~&z_Du?|jeNS}GO>7@|8$&CWg)Lf zX}`OTOoouMB9?M>yzA5Myzh?d%6C=S=uk`>PU~E&NS?g!>(^Z*r8>|vuuJ6CcDo3s zZ~g+)vy(#wxrP=wLyo!p-^gT*TBH5M*KQxO(^Gb)R$0`SR~9}kxbUGzp~tn(yf~|3 zdu?Oo>GF*c+pt82&3ihm$1kJ%W)E`3#IW3f8PC75`eoci6<_D#H3p~L6yi+9czPI~OJ3|-8QiDK-?nb@JK z=Tz1de502s;dT$!Nqlis=-n0j8>1IIMGN&P`nL!ZAU71{D!JGR|ujHet}+&A5GLgjnl$N1d|dEce3SnsPykSSDE&GK*7QQi^} z>=;dPEa1KNuFd@DnOE@%6Po2_y!B5$J-^PWRBY0f z_)gy?=`GpsP8y@Gy1lvaCNXJu+$EE1^%a6jpH&wQXv|I}Ot-E1oY7EIVOFKFo&6gj z*96^QFq1k*seN!bsL94QP9hXBWZPY?46Wwv*X8xEY*iM>~3Js>X92dSnz8k z*Jf9FwjIaQrwYN5opXl8eTDnn60>4w4fE@Yf1J4aI5O5*mF0eJ>=v=7g@>6#wiv>` z$v$KLl|kFDkLN$nY0b~h=i_{4v)g3Pi%GA6lYF&r$_i8O*Sl2~o!RNv@H}w4v3#HX z@x5yoS|%y$*{^TBa)RNmV99eEdjf2+CBx!my>Qn!FAq;eI)=YUTK8*9IA7lSGrrYd zKYwpK!zCR0m>7vJ@Hm)Mv5(MJn)UjZ!7;BSvyF;;L4!a1z5EG#zCF8kmT8J(IOlQP zEhtCkxHrMxmz;RjS9w@2?zZ(F&3T!O_%GhkeEbieE>Plwgx-A2J{xG+{nqN@u{X~? z-0V*8H2KOLGe*oyylKE;{jfKU*!NQ+lIZhBi@ESxQK-;2*d#|Ctz2m3?qOHXi& zI>Cp!D+7=6^|UE2NL)4(wAxeElJ@-kZI4E2`{856v(|^VTx3n?z+k2?Bq-Ql$+PZp z6&tF5{wc&_4ZsLB`B7Ihlecgu67OdrbzpE4Qx5h=e)t&8rvAC z^TNq-qpMhwGKk>c7KQ_ z^&5H`lJ-|9HCsJNIQ>ZIhP3^eH>{J~&vz$ZQPofOW3mV*@{65Xcc;&H5}M83eCLCc ztNL2+q@1Z=tImDPutMJV!9ax3O^iacdmwi923s7=;Cl-!&$;|G{5AYz!~A_hH3H!B zMHW0fw8)~siYAhf>mYDzFOv+MuFfFLfzj{{(C`hG!QwKX)Cs_Qez9mU$>2z^hZGa( z>gX>P6$VBE1{Pv~)ekPIUM2bVHH$`Brh0A<3{ z(tkh*FhbId1Okj_gwz=ED0qqyGJ-a3-DF~D1rL-k^TU=*5HBNv1iLdq(wUFCIE2w? zJniC&hDoT`4)1_aKN--3hHaR^NJCS5vn^ZU)69?@Bbo>|FhgpBcrrqlgAV)`0&Zt$ zWZ+;5o3TKO2zVF^Bn|Ijg1AKSRAtbOp|W2!CJHx5GX5c@n8^k^3p)q;cd2ZQ@(6|* z7$AOIB2{n>4by;#$S5O!j|dq89$c;QSo(lQ6F4-s1$amKMY)RV2c3nNEv4=O&c;;5_N*f;Lud<$lx$be{obh$>7M;Dd7qq%3_d)QLK=N1sx_l zo<pPq>4O*mjYiYxpwT#*3jYus+y~>k#6*>xdjl3= zrx^vkBrKLd!-1!*M;XGB2*f3o0=^I$f3k{3XYz&d!vmazqAuznLU)0GJVj{!7vK9HVOLZxxG@ z2gtFwzeMh`7C_@Le+fOh4`BsE`b#V1A7%J|umT_=$3wRq;9n|`{Qow)$w8{BsB8N# z)UPVZb890u=wVRa^Vu`=SenAOBmNG#8SL*>$%>Vs*07O=- z2g2X2hq!1&S!lvvPOR<9KzPjG+U*8_28-?QJn~}|svW?a=>E>rgsM?B`?s@V;4pQ{ z0YU%D5Qtv>?+pQntS|)e?+h`w4(JN~myYRjI)gkT9`~1;P0K(;$NjC1o7AX=!2Ycv zWHb;%VE)!OrLRX8Gl2dpJCI`kU+e&Atgr*=@9dzym+C$KR$1IMPy4swu}~eN8V-p+ zYq2Cxj0efn!wci(iS{9&e2Hi>3FU?Iz<8o?-WW2n{h^xpFE&beJjmuykO17P4k`R^ z2aHGw8)-mNU^7MriV=V}VIgiJ$kwF71ZNI)MTB8#B(WCZ@&#vCwdjfVK(y?%@`EGjYtkRSn6 zWB|G}kQWD0J3v}Wr2#%0AvE@4ArTmSkcIn15!6J~KmD@sf(Vrw8KG7Tq`^?7K@lNV z_!%BxC*sNQY6661A`o$b|;lZC~0%Q+HAiF{V7>roYfP&qK5FVoCB=Dwg zMh!-gIo&5hHjFqTEJ=b$j9_JMBSG0ehO8M;Bsi1|;7~;5 zuTbXrj4Ue-MNM`xrDw2AI20ZZ*McOFaj>%{q>m`)kv1gHipGFBgaKKP79ZLL z0I)pllL_!+ZAcEn0PF-b6$`AP4Y4qyaR?lnZN-oBpkwoFbm*^ghwa|Lx3F; zSSnPV-~c;h)Dl$$5j|A^K&NIhl`1O^@J>citt!X@P-5{}OXkE9sOA)e!}K6#coL9g z2C!I|4u3e*XfpaFX{VmL+>@KvYp z%wn*sIVeNv8$iqyATwd9?63qyonOnC1llE#Xj$zN3=o+>D=z%Tjo2McD;m&X0M{7O z|A8YwbP0%Wxm_?Q45BCz4-8NY5&I`iWGNA8#j@Xn0SO|JR%%#6hfH4ZJzykS z%yPbvi34KhW4^bzd9NXuFFoe|4-nS{nn0@&AB+Va8juk}JOUPEnAB%PJg~RUGl9eyL1hAIgP)i{%nTTq0q{Uwz`()q zZGgliXk-AU6{N{3urwzS0L%#vgdQ8LZ3?k5122Jx3papLiuwi!V#0zLM*Wm-3b8T} z;0z0hpA(G&tOG@YY7EjT$c9k|mLr2&0r(^gAw3`q1N3E-XzKngG!8fgL~?qmN&iUA z25Xo>tjq{Hc;5y{6mi*P5Cy1+ff!^^VF1r!jASfaU`8bkNZ`-_!VD5%r7{AFV+@-h zNr*}d0~5_4dH69W*tYyDs_I6Foe2k&2Sy1&fEo@Y!MDsHF$Um)O(1?l98E$zt+M&2 z_HgPrstCZ7{o@k;l@I9!4BdTSe4D@w5tap-1w?pRz?39nkjWv&M8p!{N(-&~%4<1RRn80qSrt9Y|mqQlAmW zhtvRnlK}b!&ti-qI8ob>X@sJY5?#WxOlxEWH~dqPrZp~jk0rzg>_RmK(g*O9QCRr4 zC8Vr@B`4yj9~jdq_o>xKwif0|Fo?(Z0d_=QwIyT8bk7s0WXPBFxhI{I?aD3B7c#@Go9G zP$dXNRA5(dND}}%T0^|N2orw-!D?t4f2{CRYse6;vjYi5fDI(2fb#GK>m5V%K;wPM zo@lfO(bp3X4%hG=o+!MJ2QuTq;A^Z6FV(_56jS#@X)>|P#1e!zub#EdD?MWt~0Sj0UGKuKp ziNWDe7=ka(#}|Pn`~&Uff%iiBczU8R;9S5HMZkiM0M^5sfF^r;fgBUqhy=XFZ`|HQ z9~`JsVST~zj4uI;@$e<$&_n`;OeSCn-Z(05JOYjV3$2hrkSf>$$!z-@36&$Gyd!)( zLfqXWyaQIh%>Y@rl?1#z7$A_D5GV(WJAk|q6mh77 zcpbqY9315cfkesyl0hJNlp}X~E-g9WI6=y*2?(xthB)CGM@XJQ2DWg5Jm5D@kgx!< z6#$FuUw9Bc;TA0#JU4v9353m3M-aJ5&X6-QL{u2~RO*0##~@oAG<-`Nj8bz20k6mz zS_kiR2LevGfNdGU1@eMBT|j8Z!s9MLrZgoM___{|2|%xbD_kH&c$YK8o0(2#g$-OG zR|Hrh(~86bGr2>2nd!XDaE&evoEIEpL(1?uH)u^}x-JWB>IQ9xs{??xrd%KaCJ?jX z3wks@c;Rbqzxl9mhkU76nFxSW26yC$Rvix22Z0Unzza9K1IRiTz>WQO2rmd$7;Tpy z<>47j%cDT-ee43st|n0x_PZSxGXTW)ctQ{bi9p1qBR}P(ekAPD{I1OJCM3Ww9V`BB z0^S4s@WV2KNH9gyj#+<0A-885Kr5tRD5L$)f9|{Dx zfd?lo7a0j|ge9L&qX8Hvpq7#!S_|NtKnt4x!4VQ#qL_gC;3gxmQK1-uWrOI+2Z%;M zEyD~XBhZqC-!g!~gx`59jTT^<_&Y$;S^&>P8lIKZ@vxRR*#9UQLEOZp^HXq?N!2ho zrKQDr@IW&%c%ZQZ9%xno51{b$_s5M$5p(&GD+Y_;MtrcQC20B0CfHv8UE!5lA(SIQXn4d2EWQNeKZIV|5dpNnMO->31})V607&hYl1%Ub zE)@JOPF>Q1)-aG^GZRRHvZO&!W}q>PS(*lDkWc?^S<)#;Eq}LA3I1ssT9p7yi~p5^ zQRnNwy2B%v5&*3w+h9;jjAKx=1p>O3)QS0ht_~t8vgZ?H|0nsPZkJ`3r@>fc{J(S% zGH|RnB+o5_#w;cE-~q{GWWg_D{<#%_&CNh|L(>aP@`2=6gCR?6A3T7a0N85a|HHM? zrCm5gqjVfd`YxgSEgeYedYm9hF2wKBral@48<;~9xZj3Zy0(DEEZu+r4^+easr{vq zXxhrKuz~}3~@^u`$U4u62L>9MStFkz<|>0sUS#J@t;c;Ry(7D z144a}>pQevIETh&j}YWD22?=$gFrwO4~9^1gB6Hg4#CiFRul>a)+GV%4TdEC`LGxa z`7?qGR{kLnMi3|h`6m-9!-4$8l0cS-fvZ9wEkWS0JOh z8c#w(HeELkAm4#>gNsCnYFo@5h zLk^NFxC-gUkuZc6O96u<8NZNjJeGRpYx!slQr@tNp>~sz6q~M_NW{VU)NV3irP)Dc z9t%$(-C(bS#4@_kNWDrg7IcH^4N`ok>&5|#rNsh^gQF*E)=$@u1!v6tOZ}vkl4F3f zVU0L|9)$(>HdmlWgM%Rots8K+q8kMcU^=MX#Q&HOU}FFldOU(0+_?kZeK~JnlLIVH z>jqisif$5OacVaig?Mth(ctbF%(ELhL?NN@$d-6{H;P1{vjhqRVB{3!PdLyk1b_n$n;wpWBh&kMaCU$~%0bH! z;xQ|U;IZ^B9E_!wcIn1aPkHFtNYwR!=>K>01+^m7O0|ND$qLO7z`~$s5ZskqVG>Z) zp_}$7kTD<`!f$4%_6LnP+zPdl2*_6SHz4%^WDx&B1k^w1;lP}yb7Lrw1FW12WD0Pc zD+c2UE9_1tA}1xwnIVJn7kwM3KCU2)CZku-0CAr>7UtOtaZaU`%=qk|&?f$0SS7e^>7Vj3Bgh3LFF@?{ky$^4Tr8tnM!{U;hv#?!ARu)P8~ z-}14*ACZ=J1(bh75Ee!K8pEGNP@oErl#rIS0T-}x9Ro0WiLodgasgoZSa5G^nYO6< z2RzbAhXOMJISXAj80=S(7A?U@B!QG07oE!_j}MGB(G34 z09>)}M>K<>M~FoMk?HVZQRo%%1+@K+rIdeWKiGfKPdK0j%!=8MMNz-cvP=s=)%1B6 z7>mcQU=W=BE^h<+2eIit0KrK%y(C~OL$586ZT^SdiS#>eKx0M1jI>j(J_M~5s_lzG6q4;gP~)Zg2I6!_=-sb{0IHg0eS6;po7CvFZ9q60Vj8Kgu!yB zuiF5xhg`Lx#h-sBAr74H(c2w38hYt~CtS%i5QM%O1EwWz#nQ%sZzKIt?%i91m^knhraN8nahZd52(a0b6Z{oqSS^!5aUR|F^U1+tY4 zf*lwASRCg6E9`t$XGw}Eyt@n+bfe%(xFEBhuI{StY7k*`?j2?&xD^*JoTV4XS-2}) zxfkRvd;uT8cW~_+`9*fupFCfKB90Seb!A3IMw~biS-*==6WL6GoTmR>{cMTU&pji_ zu3XXSwZOr?#o5LiT?YY>5pcVy|J@V+O~A}u_&}`_jy_*9!OmzL-5!$N+D*`I>n4bR z)XW6_KFsF%VtkVJoqomi^Wc5uLNIfJVfewMoK6$%m^GQw|E7L~=AOvwygJAHX#j)7 zmY`@kGw{}tu6UfkX`n~m4+~gK<3;C~JBiALCJ?l?ZseTgJEmpG<5Va>MhLLTjOYaX zlP%mJJaQq|6SQKy_&S~*lVSb4`blH+VbEVvPbWNuwLs%ox?6TVp9Kd1&JL4l`e$`Q zD%aati3B3O1o)w-cq8}D$y`sZ*w~+l`@9JEL;rRNwVr^#lv_sCqAISi65$s>MaJQ0BTVaX$LozEGgNy=MUxS!w*G>%DgQCFETw%@OqU%8#R{`q)z z$A8@V6>Pcz;&Mv}+d@O=PfQW*!19hH91%;TSS6*7leY*3si=Rr7;L`)1al__r>2 zDS>;}QLN;6vzZnD!RN`f(o^QWgG|s%ScoP~hi}5V88^SZ_=!80nGl^q_=>u6->n3S zK;98k)t1~wYEkhl`LdiDd`bbI7JoLchtNJ?#_h!q;<#Q+#w5la?WYk!s2m{q*AK{b zqkmTe3EZg#vONbP4#erxPyYVqXH+zxO=7NeUFvW^x++KES@|o1dibkySFN`wHmCIj zg)+~$=$H%*`By^D~k`#YXsnyQsf`rmCC+9^%y4vDJ?a-Sw`hD{Q- zxkFRzs(zR)NcBjzxBWjMv=(Tl^-IXn(>_S7{E~L#r#HA4l=A($80Z%5q!$k+SV?ab z1z;K!LXaiHS5@~1zs>r0^`BWvzq-Z(Sp6=MkWN>tT)$%29~)uowc5rt{g>mF3MYu7 z{6XA?j0^TBte><>Q5~6B0AywV{YwTC$;?NP;XyQRTp77lgN&mIS>tXQNEx?gc|X|H zKhTHp=}-T7^W()X1F#mGz~~`82C~-lv?-VW!y3n=+-qE7KV&QRJK%e=HSD8)?=a1i zs^gTF;0`s8%u_PDiBd-P-7OB27*25C9!O7fJ`CIqpGKZSVI{{w3rPlk)8@nEE^!9< zK{hOZ5SS;kqnxOh0HZuX6(e}ZeZ~A6zDElruKD6y>;CGugmR};4Ox+srVl8o*8v(b zqReu_yVACkF9v7(CCY+%_L|vH$+EV`4ZB;HRvN2|Wbj6& z$PW?FzID}Uu(I#fwpM)4g+G-{hpLXQ1D`xFGVpk4tCHZfBN88J0W5HURxLy=RDFib z=aKQvpm+%t$qYw~gKyI~K{c8sh;#m`V4`;62 zUet=)W8Rj07ape&spv$$g!`1>#Q|U#|l!ww) zBWvv%rT=Zk7wRSH^)6h~^bcNSn3s`|9t$DxXKGU<&Jl07{l|a6y2ryWs?!7gIrae% zL(-sAvw?a-GUUh>wYMRR7+nTOeN+yFugB$znP56DP~|z9?*Vq^k+QJT=h8UGhnGxzG4G|mEcIViGNmo4eXy~&k79@OB@_TO88?tp z^W0$Aj{o?&q&y)dQ>7SKMnNOsa|8vHksfu_0`s3?Z#O3njs zHe_Lnj%3Z$cU3NA-yicrvy z7BmG+diaFUAmkLwCLmhcJI{8q=1idvl^9`Q^6cbUN--#fc!JYaJ6LtjJh)^ri`)Z3 z1xx~k0%4UA)9JDh9V#k;-HI!EFu&)d_hg!eEOpl~kPw`EOjS)Qxv7{sz^ht=xR&nO za;EgsAMr)`ERGpTcD$GzO;iD2r4h!Zd0$3YC{;!Xd$6SQD+;IgH#Hd%jkd5w}-+ZGQo3S0&W@v1Zr_p-T}gya#g|VpSXmT>c<$Q z8Fy-enN_jnJIMSuevi)7aZG1QOLlf%kV(%1RCE+7^l-^u0ho>@IUmB{=7_gsnhZlw zpCU(Ztr#Yg5t3t+YysX>oCo6)pe?Xut!h$Mzrji_ILI%+I0-0GN)U$OSy}oGek0=> zp^>9q{_pr=SnqV1)ajFU1+$%VCD0}Cq`AlB+-XMy^gVd5$u!xkm^X|jtMXWpYMPB9 z(o3M6(s5Dg5!RtuRsF{h_0ZI@5|Bv`1F;2&M&a3+fR&D`Iy6!S#a6+)>3`dIgz{W7 zt$n#VPKhEqtrLm|)GxCan&a87$~?FInM`wL1(0cb5o(*su+u*HP>mP>?iwnK=UM+A z$%L3|a(IPVZo|tHavk0;o@i3cts_-UKvR@{*+=PrQ$L?glKLU-G;4b41VH$LxKQAo z`K1xg6#EX_`C07)hQy~L{tX%fE5+o2CPI>+0Yz5EEcpCvf7#I(LRD9hsq%(Qy%xwQ zI_bsjv-4$c`xYnJgZ}9W;D7puK_=~QOY{%Zek3iBj>n6677UrchnI|ZQ0bp;tiRr| z&5(OXWBL&W@{LQ4Q|eI9X53;sb#W6JO&O2h+t64sleTo4oMa31p~UJiIDuibiucP& zi{4sLzi-@@%!Xvji*aHXOP1^tJj}Ml!!Q~dx4`A}e`(xZ z23#L+j7d{bDKA?7?_m8PKEIfpEWH_}s>H7RAeNbv;Y_3fS3zc*%oB~_j@maX`^oTm zhfo*eaC>?Q?KqMt5K%8Wz`#fA(s`kXl#~VosbA#}!qsoHem)HImrx8>`Xhg2TrPqGmi35zIYO=%s9SQ zrg6aal)(n+m~cZT(HJHJhnx>zxk4yer2B-HO83*JaCfL-jne@GjkD&aOxDrWA--;O8@1nGq>bn z@uVe7_|Nx!O^5shCA)E%la^cUkCj`0*82h`|~G5C)v z?~8%zHDjE}3}n~%!TLSrw8+#TR|n@R{8v?s&OpjOD63j_ynyvUO|+%H7`I;1-Te%= zPB(D7;Xj~bX1yF^Cz_OVUyL(a#-z0ns*$Pb1>BQ+0)dEq^!rpYT|GzUEn$?lgw1^M zd-dgx7Jqit6d1}NL3_5Wn(n(D!#&XuT`XCb!0Gvv@GYNx+=~Aw;rRzqLx$P=K9d4=!a#i(13*j>^B`f{!>IW@rb#Q|+xLG~QL}L{{h{n1Wi>!U{ zaZPmE{v*^)U}U=g5RJ8!Ec(( zvdf;AQ1yxl(jM{nJ=VXepN_W|1L^e8!}>r2Ajb*E$X%=4PSV>hF)96nhSaQqOzbMM zQK=ZMJXyD5oEpU-6IEX1Li1(GhOPQIjB<5~``H3rKbVu@gh6wqw(wDD{h0X^Eg$e7 zUx9itkf5JGII8!$ng*4E(Z#{ zWQ*8(>??VAZG;#>Kf~f-b9I2qs9QnE zZkf^XodG4!_#pKZB72n1O8=|+>$8T*S(TGb+oNJCu!M|V4h^!$(ja8PF+R5a$7w4- z;gSAw J9&l;vaPC4WB2?k{Cuj%v?e&d9b7yC3fA;%lXsPk;OGo1ZS@{Pv6AeEi6te8$n# z_paZ5@y#E<{aF9Xy4t^f_3pPn|MIKL@czpW1SVP}K3vc5-xC=4_u=%*qK$s_i+BHj m$nW0q7s&to{qMi~{x9GC;oG;Mv&;3f``gbyfB)+bZ~q4_vy-9# diff --git a/PWM.c b/PWM.c index 18bc304..27f6780 100755 --- a/PWM.c +++ b/PWM.c @@ -1,16 +1,15 @@ /*!\file PWM.c ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW -** \brief Simple PWM handling +** \brief Straightforward PWM handling **/ /****************************************************************/ -/****************************************************************/ - #include "PWM.h" #if defined(HAL_TIM_MODULE_ENABLED) +/****************************************************************/ HAL_StatusTypeDef set_PWM_Freq(TIM_HandleTypeDef * pTim, uint32_t freq) @@ -23,7 +22,7 @@ HAL_StatusTypeDef set_PWM_Freq(TIM_HandleTypeDef * pTim, uint32_t freq) if (freq > coreCLK / 100) { return HAL_ERROR; } - // TODO: find prescaler & period with i++ instead of shifts + // TODO: find prescaler & period with i++ instead of shifts for more accuracy (despite of time passed) for (i = 1 ; i < (uint16_t) -1 ; i <<= 1) { per = (coreCLK / (freq * (i + 1))) - 1; @@ -41,7 +40,10 @@ HAL_StatusTypeDef set_PWM_Freq(TIM_HandleTypeDef * pTim, uint32_t freq) return HAL_TIM_Base_Init(pTim); } + +/*******************/ /*** PWM DRIVING ***/ +/*******************/ /*!\brief Low level TIM module PWM duty cycle write ** \param[in,out] pTim - pointer to TIM instance for PWM generation ** \param[in] chan - Channel to write @@ -64,6 +66,7 @@ __STATIC_INLINE HAL_StatusTypeDef INLINE__ write_CCR(TIM_HandleTypeDef * pTim, u return HAL_OK; } + HAL_StatusTypeDef set_PWM_Duty_Scaled(TIM_HandleTypeDef * pTim, uint32_t chan, uint16_t duty, uint16_t scale) { float tmp = ((float) min(scale, duty) / (float) scale) * pTim->Instance->ARR; @@ -71,4 +74,6 @@ HAL_StatusTypeDef set_PWM_Duty_Scaled(TIM_HandleTypeDef * pTim, uint32_t chan, u } +/****************************************************************/ #endif +/****************************************************************/ diff --git a/PWM.h b/PWM.h index f59cbdd..e53f005 100755 --- a/PWM.h +++ b/PWM.h @@ -1,9 +1,9 @@ /*!\file PWM.h ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW -** \brief Simple PWM handling +** \brief Straightforward PWM handling **/ /****************************************************************/ #ifndef __PWM_H @@ -27,6 +27,7 @@ **/ HAL_StatusTypeDef set_PWM_Freq(TIM_HandleTypeDef * pTim, uint32_t freq); + /*!\brief Set TIM module PWM duty cycle (scaled) ** \param[in,out] pTim - pointer to TIM instance for PWM generation ** \param[in] chan - Channel to write @@ -36,6 +37,7 @@ HAL_StatusTypeDef set_PWM_Freq(TIM_HandleTypeDef * pTim, uint32_t freq); **/ HAL_StatusTypeDef set_PWM_Duty_Scaled(TIM_HandleTypeDef * pTim, uint32_t chan, uint16_t duty, uint16_t scale); + /*!\brief Set TIM module PWM duty cycle (percents) ** \param[in,out] pTim - pointer to TIM instance for PWM generation ** \param[in] chan - Channel to write @@ -45,6 +47,7 @@ HAL_StatusTypeDef set_PWM_Duty_Scaled(TIM_HandleTypeDef * pTim, uint32_t chan, u __INLINE HAL_StatusTypeDef INLINE__ set_PWM_Duty_Perc(TIM_HandleTypeDef * pTim, uint32_t chan, uint16_t duty) { return set_PWM_Duty_Scaled(pTim, chan, duty, 100); } + /*!\brief Set TIM module PWM duty cycle (u16-bit value) ** \param[in,out] pTim - pointer to TIM instance for PWM generation ** \param[in] chan - Channel to write @@ -54,6 +57,7 @@ __INLINE HAL_StatusTypeDef INLINE__ set_PWM_Duty_Perc(TIM_HandleTypeDef * pTim, __INLINE HAL_StatusTypeDef INLINE__ set_PWM_Duty_Word(TIM_HandleTypeDef * pTim, uint32_t chan, uint16_t duty) { return set_PWM_Duty_Scaled(pTim, chan, duty, (uint16_t) -1); } + /*!\brief Set TIM module PWM duty cycle (u8-bit value) ** \param[in,out] pTim - pointer to TIM instance for PWM generation ** \param[in] chan - Channel to write diff --git a/exceptions.c b/exceptions.c index 10ca06a..0e62b56 100755 --- a/exceptions.c +++ b/exceptions.c @@ -1,6 +1,6 @@ /*!\file exceptions.c ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW ** \brief Debug tool helpers functions @@ -19,6 +19,7 @@ void stackDump(uint32_t stack[]) { enum { r0, r1, r2, r3, r12, lr, pc, psr}; + printf("stack addr = %lx\r\n", stack); printf("r0 = 0x%08lx\r\n", stack[r0]); printf("r1 = 0x%08lx\r\n", stack[r1]); printf("r2 = 0x%08lx\r\n", stack[r2]); diff --git a/exceptions.h b/exceptions.h index 8281550..218903d 100755 --- a/exceptions.h +++ b/exceptions.h @@ -1,6 +1,6 @@ /*!\file exceptions.h ** \author SMFSW -** \version v0.5 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW ** \brief Debug tool and helpers declaration @@ -18,27 +18,52 @@ // ***************************************************************************** // Section: Interface Routines // ***************************************************************************** +//! \note The exception_Handler should be called with corresponding exception name \b e as parameter #define exception_Handler(e) \ - __asm( "tst lr, #4 \n" \ - "ite EQ \n" \ - "mrseq r0, MSP \n" \ - "mrsne r0, PSP \n" \ - "b " #e "_Handler_callback \n") //!< The exception_Handler should be called with corresponding exception name \b e as parameter - - -#define dump_stack() \ - __asm( "tst lr, #4 \n" \ - "ite EQ \n" \ - "mrseq r0, MSP \n" \ - "mrsne r0, PSP \n" \ - "b stackDump \n") - - -/* Handled callbacks for reference -** (not really needed as called by assembly from macro) -** use macros to pass stack pointer properly */ -void HardFault_Handler_callback(uint32_t stack[]); // HardFault handler -void Error_Handler_callback(uint32_t stack[]); // HAL Error handler + __asm( "tst lr, #4 \r\n" \ + "ite EQ \r\n" \ + "mrseq r0, MSP \r\n" \ + "mrsne r0, PSP \r\n" \ + "b " #e "_Handler_callback \r\n") //!< Exception handler asm caller + + +#define dump_stack() \ + __asm( "tst lr, #4 \r\n" \ + "ite EQ \r\n" \ + "mrseq r0, MSP \r\n" \ + "mrsne r0, PSP \r\n" \ + "b stackDump \r\n") //!< Dump stack asm caller + + +/*!\brief prints contents of stack +** \param[in] stack - pointer to stack address +** \note stackDump should not be called directly, unless a particular stack is needed +** use dump_stack() which prepares pointer to current stack instead +** \return Nothing +**/ +void stackDump(uint32_t stack[]); + + +/*!\brief prints informations about current Hard Fault exception +** \param[in] stack - pointer to stack address +** \note HardFault_Handler_callback should not be called directly +** use exception_Handler() which prepares pointer to current stack instead +** \warning Depending how arm is fucked up, informations may not be printed, +** at least, you could inspect exception and stack through debug breakpoint +** \return Never (anyways, arm fubared!) +**/ +void HardFault_Handler_callback(uint32_t stack[]); + + +/*!\brief prints informations about current Hard Fault exception +** \param[in] stack - pointer to stack address +** \note HardFault_Handler_callback should not be called directly +** use exception_Handler() which prepares pointer to current stack instead +** \warning Depending how arm is fucked up, informations may not be printed, +** at least, you could inspect exception and stack through debug breakpoint +** \return Never (anyways, arm fubared!) +**/ +void Error_Handler_callback(uint32_t stack[]); /****************************************************************/ diff --git a/stdream_rdir.c b/stdream_rdir.c index 05fc822..04696ae 100755 --- a/stdream_rdir.c +++ b/stdream_rdir.c @@ -1,13 +1,11 @@ /*!\file stdream_rdir.c ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW ** \brief Stream redirection */ /****************************************************************/ -/****************************************************************/ - #include #include #include @@ -15,11 +13,14 @@ #include "stdream_rdir.h" #include "arm_stdclib.h" +/****************************************************************/ -static char buf_stream[128] = ""; +//! \warning Buffer for stdream is limited to \b 128B +static char buf_stream[128] = ""; //!< stdream buffer for output +/****************************************************************/ #if defined(HAL_UART_MODULE_ENABLED) #include "usart.h" @@ -27,30 +28,53 @@ static char buf_stream[128] = ""; #warning "You have to define DBG_SERIAL in usart.h with an UART instance for this to work!" #endif -__STATIC_INLINE HAL_StatusTypeDef INLINE__ print_uart(char* ptr, int len) +//#define STDREAM__UART_IT //!< To be defined to send to uart using interrupts + +/*!\brief Sends string to UART +** \note define STDREAM__UART_IT in compiler defines to send strings using interruptions +** \param[in] str - pointer to string to send +** \param[in] len - length of string +** \return HAL Status +**/ +__STATIC_INLINE HAL_StatusTypeDef INLINE__ print_uart(char * str, int len) { // TODO: find a way to determine if UART interrupts are enabled or not - return HAL_UART_Transmit(DBG_SERIAL, (uint8_t *) ptr, len, 30); - //return HAL_UART_Transmit_IT(DBG_SERIAL, (uint8_t *) ptr, len); + #if defined(STDREAM__UART_IT) + return HAL_UART_Transmit_IT(DBG_SERIAL, (uint8_t *) str, len); + #else + return HAL_UART_Transmit(DBG_SERIAL, (uint8_t *) str, len, 30); + #endif } #endif +/****************************************************************/ -static void print_itm(const char * msg, int len) +/*!\brief Sends string to ITM0 port +** \param[in] str - pointer to string to send +** \param[in] len - length of string +** \return Nothing +**/ +static void print_itm(const char * str, int len) { - //while (*msg != '\0') + //while (*str != '\0') for (int i = 0 ; i < len ; i++) - ITM_SendChar(*msg++); + ITM_SendChar(*str++); } -void print_itm_port(int port, const char * msg, int len) +/*!\brief Sends string to chosen ITM port +** \param[in] port - ITM port number +** \param[in] str - pointer to string to send +** \param[in] len - length of string +** \return Nothing +**/ +void print_itm_port(int port, const char * str, int len) { for (int i = 0 ; i < len ; i++) { - while (ITM->PORT[port].u32 == 0); - ITM->PORT[port].u8 = *msg++; + while (ITM->PORT[port].u32 == 0); // Wait for port to be ready + ITM->PORT[port].u8 = *str++; } } @@ -60,35 +84,35 @@ void print_itm_port(int port, const char * msg, int len) /********************/ /*** ITM ***/ -int printf_ITM(char * string, ...) +int printf_ITM(char * str, ...) { va_list args; - va_start(args, string); - vsprintf(buf_stream, string, args); + va_start(args, str); + vsprintf(buf_stream, str, args); va_end(args); print_itm(buf_stream, strlen(buf_stream)); - str_clr(buf_stream); // Erase string + str_clr(buf_stream); // Empty string return 0; } -int vprintf_ITM(char * string, va_list args) +int vprintf_ITM(char * str, va_list args) { - vsprintf(buf_stream, string, args); + vsprintf(buf_stream, str, args); print_itm(buf_stream, strlen(buf_stream)); - str_clr(buf_stream); // Erase string + str_clr(buf_stream); // Empty string return 0; } /*** GENERAL REDIRECTION ***/ -int printf_rdir(char * string, ...) +int printf_rdir(char * str, ...) { uint16_t len; va_list args; - va_start(args, string); - vsprintf(buf_stream, string, args); + va_start(args, str); + vsprintf(buf_stream, str, args); va_end(args); len = strlen(buf_stream); @@ -100,16 +124,16 @@ int printf_rdir(char * string, ...) print_uart(buf_stream, len); #endif - str_clr(buf_stream); // Erase string + str_clr(buf_stream); // Empty string return 0; } -int vprintf_rdir(char * string, va_list args) +int vprintf_rdir(char * str, va_list args) { uint16_t len; - vsprintf(buf_stream, string, args); + vsprintf(buf_stream, str, args); len = strlen(buf_stream); #if defined(ITM_ENABLED) @@ -120,7 +144,17 @@ int vprintf_rdir(char * string, va_list args) print_uart(buf_stream, len); #endif - str_clr(buf_stream); // Erase string + str_clr(buf_stream); // Empty string return 0; } + +int32_t get_fp_dec(float f, uint8_t nb) +{ + int32_t mul = 1; + f -= (int32_t) f; + while(nb-- != 0) { mul *= 10; } + return (int32_t) (f * mul); +} + + diff --git a/stdream_rdir.h b/stdream_rdir.h index 25f2482..878423f 100755 --- a/stdream_rdir.h +++ b/stdream_rdir.h @@ -1,9 +1,11 @@ /*!\file stdream_rdir.h ** \author SMFSW -** \version v0.6 +** \version v0.7 ** \date 2017 ** \copyright MIT (c) 2017, SMFSW ** \brief Stream redirection header +** \note define DBG_SERIAL in compiler defines with an UART instance to send printf likes strings to UART +** otherwise, stings will be printed to ITM0 port only */ /****************************************************************/ #ifndef __STREAM_REDIRECT_H @@ -23,22 +25,38 @@ // ***************************************************************************** // #define ITM_ENABLED //!< Enable ITM send if defined -#define printf printf_rdir //!< Shadowing printf use -#define vprintf vprintf_rdir //!< Shadowing vprintf use +#define printf printf_rdir //!< Shadowing printf +#define vprintf vprintf_rdir //!< Shadowing vprintf // ***************************************************************************** // Section: Interface Routines // ***************************************************************************** -void print_itm_port(int port, const char * msg, int len); +/*!\brief Get floating point number decimal part +** \param[in] port - ITM port number +** \param[in] str - pointer to message to send +** \param[in] len - length of message to send +** \return Nothing +**/ +void print_itm_port(int port, const char * str, int len); // printf_ITM & vprintf_ITM will be redirected to ITM port 0 (ITM_SendChar used) -int printf_ITM(char * string, ...); -int vprintf_ITM(char * string, va_list args); +int printf_ITM(char * str, ...); +int vprintf_ITM(char * str, va_list args); // General printf & vprintf redirection, will flood all enabled ports (at the cost of speed) -int printf_rdir(char * string, ...); -int vprintf_rdir(char * string, va_list args); +int printf_rdir(char * str, ...); +int vprintf_rdir(char * str, va_list args); + + +/*!\brief Get floating point number decimal part +** \note in need to print floats, add '-u _printf_float' in Linker options +** \warning enabling floating point support from linker seems to fubar printing long variables +** \param[in] f - floating point value +** \param[in] nb - Number of decimal to get after floating point +** \return nb decimal part as integer +**/ +int32_t get_fp_dec(float f, uint8_t nb); /****************************************************************/