-
Notifications
You must be signed in to change notification settings - Fork 1
/
Copy pathvivado_16564.backup.jou
182 lines (182 loc) · 9.05 KB
/
vivado_16564.backup.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
#-----------------------------------------------------------
# Vivado v2018.2 (64-bit)
# SW Build 2258646 on Thu Jun 14 20:03:12 MDT 2018
# IP Build 2256618 on Thu Jun 14 22:10:49 MDT 2018
# Start of session at: Sun Jun 11 20:05:47 2023
# Process ID: 16564
# Current directory: E:/Xilinx/Projects/Nano Processor Final
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent17412 E:\Xilinx\Projects\Nano Processor Final\Nano Processor Final.xpr
# Log file: E:/Xilinx/Projects/Nano Processor Final/vivado.log
# Journal file: E:/Xilinx/Projects/Nano Processor Final\vivado.jou
#-----------------------------------------------------------
start_gui
open_project {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.xpr}
update_compile_order -fileset sources_1
reset_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
launch_simulation
source System_TB.tcl
reset_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
close_sim
launch_simulation
source System_TB.tcl
close_sim
launch_simulation
source System_TB.tcl
reset_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
close_sim
launch_simulation
source System_TB.tcl
close_sim
reset_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
reset_run synth_1
launch_runs synth_1 -jobs 4
wait_on_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
launch_simulation
launch_simulation
launch_simulation
reset_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
reset_run synth_1
launch_runs synth_1 -jobs 4
wait_on_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
launch_simulation
source System_TB.tcl
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Nano_Processor_TB.vhd} w ]
add_files -fileset sim_1 {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Nano_Processor_TB.vhd}}
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Nano_Processor_TB [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Nano_Processor [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sim_1
update_compile_order -fileset sources_1
launch_simulation
source Nano_Processor_TB.tcl
close_sim
launch_simulation
source Nano_Processor_TB.tcl
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Instruction_Decoder_TB.vhd} w ]
add_files -fileset sim_1 {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Instruction_Decoder_TB.vhd}}
close_sim
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Instruction_Decoder [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top InstructionDecoderNew_sim [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Instruction_Decoder_TB [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sim_1
launch_simulation
source Instruction_Decoder_TB.tcl
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Program_ROM_TB.vhd} w ]
add_files -fileset sim_1 {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Program_ROM_TB.vhd}}
update_compile_order -fileset sim_1
current_sim simulation_5
close_sim
export_ip_user_files -of_objects [get_files {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Program_ROM_TB.vhd}}] -no_script -reset -force -quiet
remove_files -fileset sim_1 {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Program_ROM_TB.vhd}}
file delete -force {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Program_ROM_TB.vhd}
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Register_Bank_TB.vhd} w ]
add_files -fileset sim_1 {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Register_Bank_TB.vhd}}
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Register_Bank_TB [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Register_Bank [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
update_compile_order -fileset sim_1
launch_simulation
launch_simulation
source Register_Bank_TB.tcl
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Slow_Clock_TB.vhd} w ]
add_files -fileset sim_1 {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Slow_Clock_TB.vhd}}
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Slow_Clock_TB [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top Slow_Clock [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sim_1
update_compile_order -fileset sources_1
launch_simulation
source Slow_Clock_TB.tcl
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Adder_3bit_TB.vhd} w ]
add_files -fileset sim_1 {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/Adder_3bit_TB.vhd}}
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top System_TB [get_filesets sim_1]
set_property top_lib xil_defaultlib [get_filesets sim_1]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sim_1
# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention.
set_property source_mgmt_mode None [current_project]
set_property top System [current_fileset]
# Re-enabling previously disabled source management mode.
set_property source_mgmt_mode All [current_project]
update_compile_order -fileset sources_1
set_property SOURCE_SET sources_1 [get_filesets sim_1]
close [ open {E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/AS_4bit_TB.vhd} w ]
add_files -fileset sim_1 {{E:/Xilinx/Projects/Nano Processor Final/Nano Processor Final.srcs/sim_1/new/AS_4bit_TB.vhd}}
update_compile_order -fileset sim_1
reset_run synth_1
launch_runs synth_1 -jobs 4
wait_on_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
launch_runs impl_1 -to_step write_bitstream -jobs 4
wait_on_run impl_1
launch_simulation